基于FPGA的QPSK调制解调的仿真及相关软件设计毕业设计.docx

上传人:b****8 文档编号:11931176 上传时间:2023-06-03 格式:DOCX 页数:41 大小:1.33MB
下载 相关 举报
基于FPGA的QPSK调制解调的仿真及相关软件设计毕业设计.docx_第1页
第1页 / 共41页
基于FPGA的QPSK调制解调的仿真及相关软件设计毕业设计.docx_第2页
第2页 / 共41页
基于FPGA的QPSK调制解调的仿真及相关软件设计毕业设计.docx_第3页
第3页 / 共41页
基于FPGA的QPSK调制解调的仿真及相关软件设计毕业设计.docx_第4页
第4页 / 共41页
基于FPGA的QPSK调制解调的仿真及相关软件设计毕业设计.docx_第5页
第5页 / 共41页
基于FPGA的QPSK调制解调的仿真及相关软件设计毕业设计.docx_第6页
第6页 / 共41页
基于FPGA的QPSK调制解调的仿真及相关软件设计毕业设计.docx_第7页
第7页 / 共41页
基于FPGA的QPSK调制解调的仿真及相关软件设计毕业设计.docx_第8页
第8页 / 共41页
基于FPGA的QPSK调制解调的仿真及相关软件设计毕业设计.docx_第9页
第9页 / 共41页
基于FPGA的QPSK调制解调的仿真及相关软件设计毕业设计.docx_第10页
第10页 / 共41页
基于FPGA的QPSK调制解调的仿真及相关软件设计毕业设计.docx_第11页
第11页 / 共41页
基于FPGA的QPSK调制解调的仿真及相关软件设计毕业设计.docx_第12页
第12页 / 共41页
基于FPGA的QPSK调制解调的仿真及相关软件设计毕业设计.docx_第13页
第13页 / 共41页
基于FPGA的QPSK调制解调的仿真及相关软件设计毕业设计.docx_第14页
第14页 / 共41页
基于FPGA的QPSK调制解调的仿真及相关软件设计毕业设计.docx_第15页
第15页 / 共41页
基于FPGA的QPSK调制解调的仿真及相关软件设计毕业设计.docx_第16页
第16页 / 共41页
基于FPGA的QPSK调制解调的仿真及相关软件设计毕业设计.docx_第17页
第17页 / 共41页
基于FPGA的QPSK调制解调的仿真及相关软件设计毕业设计.docx_第18页
第18页 / 共41页
基于FPGA的QPSK调制解调的仿真及相关软件设计毕业设计.docx_第19页
第19页 / 共41页
基于FPGA的QPSK调制解调的仿真及相关软件设计毕业设计.docx_第20页
第20页 / 共41页
亲,该文档总共41页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

基于FPGA的QPSK调制解调的仿真及相关软件设计毕业设计.docx

《基于FPGA的QPSK调制解调的仿真及相关软件设计毕业设计.docx》由会员分享,可在线阅读,更多相关《基于FPGA的QPSK调制解调的仿真及相关软件设计毕业设计.docx(41页珍藏版)》请在冰点文库上搜索。

基于FPGA的QPSK调制解调的仿真及相关软件设计毕业设计.docx

基于FPGA的QPSK调制解调的仿真及相关软件设计毕业设计

1 引言

1.1研究背景

自1897年意大利科学家G.Marconi首次使用无线电波进行信息传输并获得成功后,在一个多世纪的时间中,在飞速发展的计算机和半导体技术的推动下,无线通信的理论和技术不断取得进步,今天,无线移动通信已经发展到大规模商用并逐渐成为人们日常生活不可缺少的重要通信方式之一。

随着数字技术的飞速发展与应用数字信号处理在通信系统中的应用越来越重要。

数字信号传输系统分为基带传输系统和频带传输系统。

频带传输系统也叫数字调制系统,该系统对基带信号进行调制,使其频谱搬移到适合信道传输的频带上数字调制信号有称为键控信号。

在调制的过程中可用键控[1]的方法由基带信号对载频信号的振幅,频率及相位进行调制最基本的方法有三种:

正交幅度调制(QAM)、频移键控(FSK)和相移键控(PSK)。

作为数字通信技术中重要组成部分的调制解调技术一直是通信领域的热点课题。

随着当代通信的飞速发展,通信体制的变化也日新月异,新的数字调制方式不断涌现并且得到实际应用[2]。

目前的模拟调制方式有很多种,主要有AM、FM、SSB、DSB、CW等,而数字调制方式的种类更加繁多,如ASK、FSK、MSK、GMSK、PSK、DPSK、QPSK、QAM等。

如果产生每一种信号需要一个硬件电路甚至一个模块,那么能产生几种、十几种通信信号的通信机的电路将相当复杂,体积重量将会很大,而且要增加新的调制方式也是十分困难的。

在众多调制方式中,四相相移键控(QuadraturePhaseShiftKeying,QPSK)信号由于抗干扰能力强而得到了广泛的应用[3],[4],具有较高的频谱利用率和较好的误码性能,并且实现复杂度小,解调理论成熟,广泛应用于数字微波、卫星数字通信系统、有线电视的上行传输、宽带接入与移动通信等领域中[5],并已成为新一代无线接入网物理层和B3G通信中使用的基本调制方式[6]。

现场可编程门阵列(FieldProgrammableGateArray,FPGA)是20世纪9年代发展起来的大规模可编程逻辑器件,随着电子设计自动化(ElectronDesignAutomationEDA)技术和微电子技术的进步,FPGA的时钟延迟可达到ns级,结合其并行工作方式,在超高速、实时测控方面都有着非常广阔的应用前景[7]。

FPGA具有高集成度、高可靠性等特点,在电子产品设计中也将得到广泛的应用。

FPGA器件的另一特点是可用硬件描述语言VHDL对其进行灵活编程[8],可利用FPGA厂商提供的软件仿真硬件的功能,使硬件设计如同软件设计一样灵活方便,缩短了系统研发周期。

基于上述优点,用FPGA实现调制解调电路,不仅降低了产品成本,减小了设备体积,满足了系统的需要,而且比专用芯片具有更大的灵活性和可控性。

在资源允许下,还可以实现多路调制。

数字调制信号又称为键控信号。

调制过程可用键控的方法由基带信号对载频信号的振幅、频率及相位进行调制。

最基本的方法有3种:

正交幅度调制(QAM)、频移键控(FSK)、相移键控(PSK).根据所处理的基带信号的进制不同分为二进制和多进制调制(M进制)。

多进制数字调制与二进制相比,其频谱利用率更高。

其中QPSK(即4PSK)是MPSK(多进制相移键控)中应用最广泛的一种调制方式。

本课题主要研究了基于FPGA的QPSK调制解调电路的实现方法,并给出了MAX+PLUSII环境下的仿真结果。

1.2国内外研究状况及趋势

1.2.1数字调制解调技术的发展现状

数字信号调制是用基带数字信号控制高频载波,把基带数字信号变换为频带数字信号的过程,数字信号的调制设备包括数字信号处理(编码)单元和调制单元。

图1.1 数字通信调制系统框图

首先将模拟信号数字化,然而数字信号序列进行编码码流是不能或不适合直

接通过传输信道进行传输的,必须经过某种处理,使之变成适合在规定信道中传

输的形式。

在通信原理上,这种处理称为信道编码,一般包括扰码,R-S编码,卷

积交织,卷积编码这几部分;有关调制单元的调制类型的分类:

(1)按数据类型数字调制可分为二进制调制和多进制调制两种。

 

(2)按已调信号的结构形式可分为线性调制和非线性调制两种。

(3)按数字调制方式分为调幅、调频和调相三种基本形式。

数字通信解调设备的构成如图1.2所示,主要包括解调单元、信码再生单元和译码单元。

其中,载波同步和定时同步是解调器的2个核心单元,它们直接决定着解调器的误码性能。

图1.2 数字通信解调系统框图

在传统的数字通信系统中,接收机的解调单元都是用模拟处理方法和器件实现的。

其中,共同之处在于使用了模拟滤波器、鉴相器(乘法器)和压控振荡器(VCO)。

这种传统的模拟解调单元电路体积大、形式复杂;调试周期长而且受人为因素影响大;器件内部噪声大,易受环境影响,可靠性差;因此,这种传统的接收机不能完全发挥数字通信的优势,不能实现数字信号处理的最佳接收。

解调单元的载波同步和定时同步将完全在数字部分完成,而模数转换器的位置决定了接收机的数字化程度。

在全数字解调中,几乎所有的模拟解调单元和件都可以对应地找到它的数字化形式,如数字滤波器(FIR或IIR)、全数字乘法器和数控振荡器[9],[10](NCO)等。

但全数字解调并不是简单的将模拟解调中的器件全部数字化,它具有以下的特点:

1)电路结构简单,易于调试;

2)可以使用复杂的算法,从而实现最佳的接收;

3)便于计算机辅助设计,实现电子设计自动化(EDA);

4)易于集成和大规模生产,价格低廉。

QPSK是目前应用非常广泛的调制解调技术,目前QPSK调制的实现主要是利用数字电路和专用芯片来完成,通常利用可编程数字电路对基带信号进行码元变换,成形滤波等处理后得到同相分量和正交分量,然后将两路信号分量经过数模转换获得模拟信号送入一个正交相乘器与中频载波调制得到中频QPSK调制信号。

该方法适合高码率数字信号的传输,但系统的开放性和灵活性较差。

1.2.2FPGA的发展概况

FPGA/CPLD、DSP和CPU被称为未来数字电路系统的3块基石,也是目前硬件设计研究的热点[11]。

过去的数字信号处理实现中,大多采用ASIC和DSP,但这类器件都有一定的缺陷。

ASIC处理速度快,但开发成本高,而且内部功能不可改变,这样系统的可重构性差;DSP可以通过更改软件来改变其功能,其重构性好,但它的处理速度慢,逐渐跟不上越来越高的信号处理速度的要求。

20世纪90年代以来,微电子技术以惊人的速度发展,其工艺水平达到深亚微米级,在一个芯片上可集成数百万乃至上千万只晶体管。

这为制造出规模更大,速度更快和信息容量更大的芯片系统提供了条件,促进了电子设计自动化(EDA)技术的发展。

FPGA的出现就是超大规模数字集成电路技术和计算机辅助设计技术发展的结果[7]。

与传统的设计方法相比,FPGA具有功能强大,开发过程投资小、周期短,可反复编程修改,保密性能好,开发工具智能化等特点,正好充分发挥了软件无线电可编程能力强,易于升级的特点,用FPGA取代或部分取代专用ASIC芯片可提高灵活性。

FPGA允许电路设计者利用基于计算机的开发平台,经过设计输入、仿真、测试和校验,直到达到预期的结果。

采用FPGA器件可以将原来的电路板级产品集成为芯片级产品,同时还可以很方便地对设计进行在线修改,它成为研制开发的理想器件之一。

FPGA可以看作是介于ASIC和DSP之间的一种实现手段,它既具有ASIC的高速处理能力,又拥有很好的可重构性能,而且开发成本低,开发周期短,优势十分明显[12]。

虽然FPGA的思路来源于门阵列,但它与门阵列PLD不同,其内部由许多独立的可编程逻辑模块(CLB)组成,逻辑块之间可以灵活地相互连接。

FPGA的结构一般分为三部分:

可编程逻辑块、可编程I/O模块和可编程内部连线,现场可编程是指用户在自己的工作室内编程。

世界上主要的FPGA生产商是美国Altera公司和Xilinx公司,总共占据了全球市场份额的60%以上。

当前,Altera公司的主流产品为大规模的Stratix系列和中规模、低成本、高性价比的Cyclone系列,并分别推出了两者的下一代产品StratixⅡ和CycloneⅡ。

近年来,FPGA工艺发展很快,FPGA的工作时钟频率也不断增高,使芯片的处理能力增强。

随着大规模可编程逻辑器件的发展,系统设计进入“片上可编程系统(SOPC)”的新纪元,越来越多的新型FPGA内嵌CPU或者DSP内核,支持软硬件协同设计;芯片朝着高密度、低压、低功耗方向挺进;国际各大公司都在积极扩充其IP库,以优化的资源更好地满足用户的需求,扩大市场。

基于这样的发展,FPGA己经成为实现软件无线电数字信号处理的一种非常有效的选择。

其内部结构可以实现高速的数据处理过程,而它灵活的可重构性能保证系统能够实现在线重构,使系统具有高度的灵活性,当设备需要增加新的无线接口时,不需要增加新的FPGA芯片,而只需将现有FPGA的内部逻辑重构就可以了,这样就降低了设备的成本,缩短了开发周期,正是因为它的这些优点,FPGA在无线电技术的研究和设备开发中正在发挥越来越重要的作用。

1.3 课题研究的意义和主要工作

数字字调制解调技术在数字通信中占有非常重要的地位,数字通信技术与FPGA的结合是现代通信系统发展的一个必然趋势。

在数宁信号的频带传输中,最重要的技术就是调制解调技术。

数字调制是数字符号转换成与信道特性相匹配的波形的过程。

数字信号对载波的调制与模拟信号对载波的调制类似。

它同样足用输入的数字信号控制(键控)载波的幅度、频率和相位,因而有三种基本调制技术:

幅移键控ASK(Amplitude-ShiftKeying)、频移键控FSK(Frequency-ShiftKeying)、相移键控PSK(Phase-ShiftKeying)。

随着技术的发展又演变出多种多样的数字调制技术。

相移键控是用数字基带信号控制载波的相位,使载波的相位发生跳变的一种调制方式。

由于PSK系统抗噪声性能优于ASK和FSK,而且频带利用率高,所以,在中、高速数字通信中被广泛应用。

文中介绍了通信系统的组成、QPSK调制解调原理,并基于FPGA实现了QPSK调制电路。

并给出了MAX+PLUSII环境下的仿真结果与Systemview的仿真结果。

仿真结果表明了该设计的正确性。

本课题的主要研究工作包括以下四方面:

(1)了解数字调制解调的基本原理

(2)学习数字QPSK的特点、解调原理、数学模型;学习VHDL语言

(3)了解数字QPSK的发展状况及现实中应用;了解FPGA的内部硬件结构

(4)用Systemview对QPSK的调制解调进行仿真

2 通信系统的组成

2.1通信系统的模型

通信的目的是传输消息。

消息具有不同的形式,例如:

符号、文字、话音、音乐、数据、图片、活动图像等等。

因而,根据所传递消息的不同,目前通信业务可分为电报、电话、传真、数据传输即可视电话等。

如果从广义的角度看,则广播、电视、雷达、导航、遥测、遥控等也可以列入通信范畴。

当然,数字信号也可以在模拟通信系统中传输,如计算机数据可以通过模拟电话线路传输,但这时必须使用调制解调器(Modem)将数字基带信号进行正弦调制,以适应模拟信道的传输特性。

可见,模拟通信与数字通信的区别仅在于信道中传输的信号种类。

发送设备的基本功能是将信源和信道匹配起来,即将信源产生的消息信号变换成适合在信道中传输的信号。

变换方式是多种多样的,在需要频谱搬移的场合,调制是最常见的变换方式。

对数字通信系统来说,发送设备常常又可分为信源编码与信道编码。

信道是指传输信号的物理媒质。

在无线信道中,信道可以是大气(自由空间),在有线信道中,信道可以是明线、电缆或光纤。

有线和无线信道均有多种物理媒质。

媒质的固有特性及引入的干扰与噪声直接关系到通信的质量。

根据研究对象的不同,需要对实际的物理媒质建立不同的数学模型,以反映传输媒质对信号的影响。

噪声源不是人为加入的设备,而是通信系统中各种设备以及信道中所固有的,并且是人们所不希望的。

噪声的来源是多样的,它可分为内部噪声和外部噪声,而且外部噪声往往是从信道引入的。

因此,为了分析方便,把噪声源视为各处噪声的集中表现而抽象加入到信道。

接收设备的基本功能是完成发送设备的反变换,即进行解调、译码、解码等。

它的任务是从带有干扰的接收信号中正确恢复出相应的原始基带信号来,对于多路复用信号,还包括解除多路复用,实现正确分路。

信宿是传输信息的归宿点,其作用是将复原的原始信号转换成相应的消息[13]。

图2.1概括地描述了一个通信系统的组成,它反映了通信系统的共性,因此称之为通信系统的一般模型。

根据研究的对象以及所关注的问题不同,图2.1模型中的各小方框的内容和作用将有所不同,因而相应有不同形式的更具体的通信模型。

图2.1通信系统的一般模型

信息源(简称信源)的作用是把各种消息转换成原始电信号。

根据消息的种类不同,心愿可分为模拟信源和数字信源。

模拟信源输出连续的模拟信号,如话筒、摄像机;数字信源则输出离散的数字信号,如电传机、计算机等各种数字终端。

并且模拟信源送出的信号经数字化处理后也可送出数字信号。

发送设备的作用是产生适合于在信道中传输的信号,即使发送信号的特性和信道特性相匹配,具有抗信道干扰的能力,并且具有足够的功率以满足远距离传输的需要。

因此,发送设备涵盖的内容很多,可能包含变换、放大、滤波、编码、调制等过程。

对于多路传输系统,发送设备还包括多路复用器。

信道是一种物理媒质,用来将来自发送设备的信号传送到接收端。

在无线信道中,信道可以是自由空间:

在有线信道中,可以是明线、电缆和光纤。

有线信道和无线信道均有多种物理媒质。

信道既给信号以通路,也会对信号产生各种干扰和噪声。

信道的固有特性及引入的干扰和噪声直接关系到通信的质量。

接收设备的功能是将信号放大和反变换(如译码、解调),其目的是从受到减损的接收信号中正确恢复出原始电信号。

对于多路复用信号,接收设备中还包括解除多路复用,实现正确分路的功能。

此外,它还要尽可能减小在传输过程中噪声与干扰所带来的影响。

受信者(简称信宿)是传送消息的目的地,其功能与信源相反,即把原始电信号还原成相应的信息,如扬声器等。

图2.1中,信源发出的消息虽然有多种形式,但可分为两大类:

一类称为连续消息;另一类称为离散消息。

连续消息是指消息的状态连续变化或是不可数的,如语音、活动图片等。

离散消息则是指消息的状态是可数的或离散的,如符号、数据等。

消息的传递是通过它的物质载体—电信号来实现的,即把消息寄托在电信号的某一参量上(如连续波的幅度、频率或相位;脉冲波的幅度、宽度或位置)。

按信号参量的取值方式不同可把信号分为两类,即模拟信号和数字信号。

凡信号参量的取值是连续的或取无穷多个值的,且直接与消息相对应的信号,均称为模拟信号,如电话机送出的语音信号、电视摄像机输出的图像信号等。

模拟信号有时也称连续信号,这个连续是指信号的某一参量可以连续变化,或者说在某一取值范围内可以取无穷多个值,而不一定在时间上也连续,如图2.2(b)所示的抽样信号。

凡信号参量只能取有限个值,并且常常不直接与消息相对应的信号,均称为数字信号,如电报信号、计算机输入/输出信号、PCM信号等。

数字信号有时也称离散信号,这个离散是指信号的某一参量是离散变化的,而不一定在时间上也离散,如图2.3(b)所示的2PSK信号。

图2.2模拟信号波形

图2.3数字信号波形

①连续信号;②抽样信号

①二进制波形;②2PSK波形

因此,按照信道中传输的是模拟信号还是数字信号,可相应地把通信系统分为模拟通信系统和数字通信系统。

模拟通信系统是利用模拟信号来传递信息的通信系统。

我们知道,信源发出的原始电信号是基带信号,基带的含义是指信号的频谱从零频附近开始,如语音信号为300-3400Hz,图像信号为0-6MHz。

由于这种信号具有频率很低的频谱分量,一般不宜直接传输,这就需要把基带信号变换成其频带适合在信道中传输的信号,并可在接收端进行反变换。

完成这种变换和反变换作用的通常是调制器和解调器。

经过调制以后的信号称为已调信号。

已调信号有三个基本特征:

一是携带有信息,二是适合在信道中传输,三是信号的频谱具有带通形式且中心频率远离零频,因而已调信号又称频带信号。

需要指出,消息从发送端到接收端的传递过程中,不仅仅只有连续消息与基带信号和基带信号与频带信号之间的两种变换,实际通信系统中可能还有滤波、放大、天线辐射、控制等过程。

由于调制与解调两种变换对信号的变化起决定性作用,而其他过程对信号不会发生质的变化,只是对信号进行了放大或改善了信号特性,因而被认为是理想的而不予讨论。

模拟通信系统模型可由图2.1略加演变而成。

2.2数字通信系统模型

数字通信系统是利用数字信号来传递信息的通信系统,如图2.4所示。

数字通信涉及的技术问题很多,其中主要有信源编码/译码、信道编码/译码、数字调制/解调、数字复接、同步以及加密等。

信源编码的作用之一是设法减少码元数目和降低码元速率,即通常所说的数据压缩。

码元速率将直接影响传输所占的带宽,而传输带宽又直接反映了通信的有效性。

作用之二是,当信息源给出的是模拟语音信号时,信源编码器将其转换成数字信号,以实现模拟信号的数字化传输。

数字信号在信道传输时,由于噪声、衰落以及人为干扰等,将会引起差错。

为了减少差错,信道编码器对传输的信息码元按一定的规则加入保护成分(监督元),组成所谓“抗干扰编码”。

接收端的信道译码器按一定规则进行解码,从解码过程中发现错误或纠正错误,从而提高通信系统抗干扰能力,实现可靠通信。

在需要实现保密通信的场合,为了保证所传信息的安全,人为将被传输的数字序列扰乱,即加上密码,这种处理过程叫加密。

在接收端利用与发送端相同的密码复制品对收到的数字序列进行解密,恢复原来信息,叫解密。

数字调制就是把数字基带信号的频谱搬移到高频处,形成适合在信道中传输的频带信号。

基本的数字调制方式有振幅键控ASK、频移键控FSK、绝对相移键控PSK、相对(差分)相移键控DPSK。

对这些信号可以采用相干解调或非相干解调还原为数字基带信号。

对高斯噪声下的信号检测,一般用相关器接收机或匹配滤波器实现。

同步是保证数字通信系统有序、准确、可靠工作的不可缺少的前提条件。

同步是使收、发两端的信号在时间上保持步调一致。

按照同步的功用不同,可分为载波同步、位同步、群同步和网同步。

数字复接就是依据时分复用基本原理把若干个低速数字信号合并成一个高速的数字信号,以扩大传输容量和提高传输效率。

需要说明的是,图2.4是数字通信系统的一般化模型,实际的数字通信系统不一定包括图2.4中的所有环节。

图2.4数字通信系统模型

当然,数字信号也可以在模拟通信系统中传输,如计算机数据可以通过模拟电话线路传输,但这时必须使用调制解调器(Modem)将数字基带信号进行正弦调制,以适应模拟信道的传输特性。

可见,模拟通信与数字通信的区别仅在于信道中传输的信号种类[14]。

2.3数字通信的特点

与模拟通信相比,数字通信具有以下一些优点:

(1)抗干扰能力强,且噪声不积累。

数字通信系统中传输的是离散取值的数字波形,接收端的目标不是精确地还原被传输的波形,而是从受到噪声干扰的信号中判决出发送端所发送的是哪一个波形。

以二进制为例,信号的取值只有两个,这时要求在接收端能正确判决发送的是两个状态中的哪一个即可。

在远距离传输时,如微波中继通信,各中继站可利用数字通信特有的抽样判决再生的接受方式,使数字信号再发生且噪声不积累。

而模拟通信系统中传输的是连续变化的模拟信号,它要求接收机能够高度保真地重现原信号波形,一旦信号叠加上噪声后,即使噪声很小,也很难消除它。

(2)传输差错可控。

在数字通信系统中,可通过信道编码技术进行检错与纠错,降低误码率,提高传输质量。

(3)便于用现代数字信号处理技术对数字信息进行处理、变换、存储。

这种数字处理的灵活性表现为可以将来自不同信源的信号综合到一起传输。

(4)易于集成,使通信设备微型化,重量轻。

(5)易于加密处理,且保密性好。

数字通信的缺点是,一般需要较大的传输带宽。

另外,由于数字通信对同步要求高,因而系统设备复杂[15]。

2.4数字基带信号

信源发出的没有经过调制的原始电信号所固有的频带,称为基本频带,简称基带。

现代通信借助于电和光来传输信息,数字终端产生的数字信息是以“1”和“0”两种代码(状态)位代表的随机序列,他可以用不同形式的电信号表示,从而构造不同形式的数字信号。

在一般的数字通信系统中首先将消息变为数字基带信号,称为信源编码,经过调制后进行传输,在接收端先进行解调恢复为基带信号,再进行解码转换为消息。

在实际的基带传输系统中,并不是所有电波均能在信道中传输,因此有基带信号的选择问题,因此对码型的设计和选择需要符合一定的原则。

当数字信号进行长距离传输时,高频分量的衰减随距离的增大而增大,电缆中线对之间的电磁辐射也随着频率的增高而加剧,从而限制信号的传输距离和传输质量,同时信道中往往还存在隔直流电容和耦合变压器,他们不能传输直流分量及对低频分量有较大的衰减,因此对于一般信道高频和低频部分均是受限的。

对于这样的信道,应使线路传输码型的频谱不含直流分量,并且只有很少的低频分量和高频分量。

其次,传输码型中应含有定时时钟信息,以利于收端定时时钟的提取,在基带传输系统中,定时信息是在接收端再生原始信息所必需的。

一般传输系统中,为了节省频带是不传输定时信息的,必须在接受端从相应的基带信号中加以提取。

再次,实际传输系统常希望在不中断通信的前提下,能监视误码,如果传输码型有一定的规律性,那么就可以根据这一规律性来检测传输质量,以便做到自动监测,因此,传输码型应具有一定的误码检测能力。

当然,对传输码型的选择还需要编码和解码设备尽量简单等要求,但以上的几点是最主要的考虑因素。

在基带传输系统中,一系列的基带信号波形被变换成相应的发送基带波形后,就被送入信道。

信号通过信道传输,一方面受到信道特性的影响,使信号产生畸变;令一方面信号被信道中的加性噪声所叠加,造成信号的随机畸变。

因此,到达接收端的基带脉冲信号发生了畸变。

为此,在接收端首先安排一个接收滤波器,使噪声尽量得到抑制,而使信号顺利通过。

然而,在接收滤波器的输出信号里,总还是存在畸变信号和混有噪声的。

因此,为提高接收系统的可靠性,通常在接收滤波器的输出端安排一个识别电路,通常的识别电路是抽样判别器,它是在每一接收基带波形的中心附近,对信号进行抽样,然后将抽样值与判决门限进行比较。

若抽样值大于门限,则判为“高”电平。

这样就获得一系列新的基带波形—再生的基带信号。

所谓数字基带信号,就是消息代码的电脉冲表示―电波形。

在实际基带传输系统中,并非所有的原始数字基带信号都能在信道中传输,例如,含有丰富直流和低频成分的基带信号就不适宜在信道中传输,因为它有可能造成信号严重畸变;再如,一般基带传输系统都是从接收到的基带信号中提取位同步信号,而位同步信号却又依赖于代码的码型,如果代码出现长时间的连“0”符号,则基带信号可能会长时间出现0电位,从而使位同步恢复系统难以保证位同步信号的准确性。

实际的基带传输系统还可能提出其它要求,从而导致对基带信号也存在各种可能的要求。

归纳起来,对传输用的基带信号的要求主要有两点:

(1)对各种代码的要求,期望将原始信息符号编制成适合于传输用的码型;

(2)对所选的码型的电波形的要求,期望电波形适宜于在信道中传输。

前一问题称为传输码型的选择,后一问题称为基带脉冲的选择。

这是两个既彼此独立又相互联系的问题,也是基带传输原理中十分重要的两个问题。

传输码(常称为线路码)的结构将取决于实际信道的特性和系统工作的条件。

概括起来,在设计数字基带信号码型时应考虑以下原则:

(1)码型中应不

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 经管营销 > 经济市场

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2