信道化软件无线电发射机仿真设计.docx

上传人:b****8 文档编号:12063364 上传时间:2023-06-04 格式:DOCX 页数:22 大小:35.09KB
下载 相关 举报
信道化软件无线电发射机仿真设计.docx_第1页
第1页 / 共22页
信道化软件无线电发射机仿真设计.docx_第2页
第2页 / 共22页
信道化软件无线电发射机仿真设计.docx_第3页
第3页 / 共22页
信道化软件无线电发射机仿真设计.docx_第4页
第4页 / 共22页
信道化软件无线电发射机仿真设计.docx_第5页
第5页 / 共22页
信道化软件无线电发射机仿真设计.docx_第6页
第6页 / 共22页
信道化软件无线电发射机仿真设计.docx_第7页
第7页 / 共22页
信道化软件无线电发射机仿真设计.docx_第8页
第8页 / 共22页
信道化软件无线电发射机仿真设计.docx_第9页
第9页 / 共22页
信道化软件无线电发射机仿真设计.docx_第10页
第10页 / 共22页
信道化软件无线电发射机仿真设计.docx_第11页
第11页 / 共22页
信道化软件无线电发射机仿真设计.docx_第12页
第12页 / 共22页
信道化软件无线电发射机仿真设计.docx_第13页
第13页 / 共22页
信道化软件无线电发射机仿真设计.docx_第14页
第14页 / 共22页
信道化软件无线电发射机仿真设计.docx_第15页
第15页 / 共22页
信道化软件无线电发射机仿真设计.docx_第16页
第16页 / 共22页
信道化软件无线电发射机仿真设计.docx_第17页
第17页 / 共22页
信道化软件无线电发射机仿真设计.docx_第18页
第18页 / 共22页
信道化软件无线电发射机仿真设计.docx_第19页
第19页 / 共22页
信道化软件无线电发射机仿真设计.docx_第20页
第20页 / 共22页
亲,该文档总共22页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

信道化软件无线电发射机仿真设计.docx

《信道化软件无线电发射机仿真设计.docx》由会员分享,可在线阅读,更多相关《信道化软件无线电发射机仿真设计.docx(22页珍藏版)》请在冰点文库上搜索。

信道化软件无线电发射机仿真设计.docx

信道化软件无线电发射机仿真设计

 

信道化软件无线电发射机

仿真设计

 

作者姓名:

 

专业名称:

 

指导教师:

 

摘要

无线通信是通信领域中最为活跃的部分,在各个方面都已经得到了广泛的应用。

我们的世界正是由于通信的长足发展而变得越来越小了。

在20世纪通信已经成功由模拟通信技术转为数字通信技术,现在应经很少有人会怀疑数字信号处理是解决通信问题的最佳方法。

显然,在理论上,数字技术已经可以贯穿通信工作的整个过程。

 

1992年5月在美国通信系统会议上,首次明确提出了“软件无线电”的概念。

目前,有关软件无线电的定义并不统一。

 

软件无线电是一种新型的无限体系结构,它通过硬件和软件的结合使无线网络和用户终端具有可重配置能力。

软件无线电提供了一种建立多模式、多频段、多功能无线电设备的有效而且相当经济的解决方案,可以通过软件升级实现功能提高。

软件无线电可以使整个系统(包括用户终端和网络)采用动态的软件编程对设备特性进行重配置,换句话说,相同的硬件可以通过软件定义来完成不同的功能。

 

软件无线电具有以下特点:

 

(1)可多频带/多模式/多功能工作。

多频带是指软件无线电可以工作在很宽的频带范围内;多模式是指软件无线电能够使用多种类型的空中接口,其调制方式、编码、帧结构、压缩算法、协议等可以选择;多功能是指采用相同的无线电设备用于不同的应用中。

 

(2)具有可重配置、可重编程的能力。

可重配置是指系统的操作软件(包括程序、参数以及处理环境的软件方面)或硬件(处理环境的硬件方面)的改变。

 

实现软件无线电的核心思想是采用开放的、标准化的通用硬件平台构造无线电系统,使宽带ADC/DAC尽可能地靠近天线,用软件实现尽可能多的无线电功能,并且通过软件实现功能的设定和升级,使通信系统具有多频带、多模式的通信能力。

关键词:

软件无线电ADC/DACmatlab仿真

Abstract

Withthedevelopmentofmoderneconomy,thestormhassweptthroughtheimageofourdailylife,peoplehavebeencloselyrelatedtotheimageforthe.People'srequirementsonimagereal-timeprocessingisrelativelyimproved.Nowwefaceaproblem,howtoguaranteethequalityoftheimage,atthesametimecanbeoldenoughconsideringthereal-timeandefficiencyhasbecomeanissueofconcern.Thisinformationiscertaintoimagecompressionandprocessinghasbecomeaveryimportantlink.However,theimageisanimportantsignal,duetothelargeamountofdata,whilethestorageandtransmissionofthecompressed.Newmathematicaltoolsarediscretecosinetransformisanewmathematicaltool,basedonthediscretecosinetransformimagecompressiontechnologyisnowbeingalotofattentionandresearch.

Inthispaper,basedontheanalysisofthegeneralmethodsofimagecompressioninrecentyears,thebasicprinciplesandimplementationstepsofimagecompressionbasedonDCTtransformarealsodiscussed.Inthispaper,theprincipleanddevelopmentofimagecompressionareintroducedbriefly,andtheDCTtransformalgorithmisanalyzedandcomparedwithothercompressionmethods.ThebasisofDCTtransformcompressionisproposed.Thefinalstepoftheimagecompressionprocessistoencodethequantizedimage.This,.WeuseMATLAB6.5torealizethesimulationofJPEGimagecompression.

Thetheoryofachannelizedtransmitterbasedonsoftwareradioisanalysedinthispaper.Its

prototypemathematicalmodelandoptimizedmodelaregivenandthetypicalsimulationresultsarepresentedintheend.

Keywords:

softwareradio;channelizedransmitter;simulation

 

前言

上世纪90年代提出的软件无线电理论是目前通信领域重要的研究方向之一,代表了未来通信发展的趋势。

软件无线电的基本思想就是用尽可能少的硬件构建通用平台,尽可能用软件来实现各种功能,只要调用不同的模块即可适应不同的通信体制。

软件无线电发射机主要完成信息的基带处理和上变频功能。

通常的发射机在同一时刻只能发射单个信号。

为了同时发射多个信号,需要多部发射机并联工作。

基于信道化结构的软件无线电能够在一部发射机上同时发射多个信号。

因此,信道化发射机在移动通信基站、无线通信网关等领域有广泛的应用前景。

1绪论

1.1论文研究背景及意义

软件无线电技术是在通用的开放式无线电智能平台上,通过安装不同的软件来完成各种通信功能。

软件无线电系统适用于多个频段,可灵活地改变工作模式,能与不同体制和标准的各种设备互通和兼容。

软件无线电的关键技术包括智能天线、宽带射频(RF)信号处理、宽带A/D变换和高速数字信号处理等。

软件无线电是无线通信领域继固定到移动、模拟到数字之后的第三次革命,是目前乃至未来的无线电领域的技术发展方向,它在提高系统灵活性上有无可比拟的优势,是实现未来无线通信系统的有效手段。

FPGA在分布式计算、并行处理、流水线结构上有独特的优势,自然成为设计软件无线电系统的首选技术之一。

本文主要研究了软件无线电发射机中的相关理论及实现方案,并基于FPGA实现。

本文首先深入讨论了软件无线电发射机的基本理论:

采样技术、多速率信号处理和调制解调算法,在此基础上深入研究了多相滤波技术在信道化发射机中的应用。

然后推导和建立了实信号信道化发射机的数学模型,给出了信道划分方法和真实信道中心频率的计算公式,并针对此发射机模型中的各个主要模块进行了基于FPGA实现的研究,给出了实现方案。

最后完成了基于各个模块的信道数为16的信道化发射机的系统统调。

本文主要是在实验室阶段对算法在硬件上实现进行研究。

成果可以作为后续应用研究的基础,对各种应用软件无线电理念的通信系统都具有一定的参考价值。

 

1.2软件无线电简述

软件无线电(softwareradio)在一个开放的公共硬件平台上利用不同可编程的软件方法实现所需要的无线电系统。

简称SWR。

理想的软件无线电应当是一种全部可软件编程的无线电,并以无线电平台具有最大的灵活性为特征。

全部可编程包括可编程射频(RF)波段、信道接入方式和信道调制。

SWR就是宽带模数及数模变换器(A/D及D/A)、大量专用/通用处理器、数字信号处理器(DigitalSignalProicesser,DSP)构成尽可能靠近射频天线的一个硬件平台。

在硬件平台上尽量利用软件技术来实现无线电的各种功能模块并将功能模块按需要组合成无线电系统。

例如:

利用宽带模数变换器(AnalogDigitalConverter,ADC),通过可编程数字滤波器对信道进行分离;利用数字信号处理技术在数字信号处理器(DSP)上通过软件编程实现频段(如短波、超短波等)的选择,完成信息的抽样、量化、编码/解码、运算处理和变换,实现不同的信道调制方式及选择(如调幅、调频、单边带、跳频和扩频等),实现不同保密结构、网络协议和控制终端功能等。

可实现的软件无线电,称做软件定义的无线电(SoftwareDefinedRadio,SDR)。

SDR被认为仅具有中频可编程数字接入能力。

发展历史无线电的技术演化过程是:

由模拟电路发展到数字电路;由分立器件发展到集成器件;由小规模集成到超大规模集成器件;由固定集成器件到可编程器件;由单模式、单波段、单功能发展到多模式、多波段、多功能;由各自独立的专用硬件的实现发展到利用通用的硬件平台和个性的编程软件的实现。

1.3实现软件无线电的核心技术

1.3.1宽带多频段天线技术

根据短波软件无线电的结构,天线要能覆盖1.5MHz~30MHz频率范围;能用程序控制的方法对功能及参数进行设置。

而实现这些功能的技术包括:

组合式多频段天线及智能化天线技术;模块化收发双工技术;多倍频程宽带低噪声放大器方案等。

1.3.2宽频A/D转换

在软件无线电通信系统中,要达到尽可能多的以数字形式处理的无线信号,必须把A/D转换尽可能地向天线端推移,这样就对A/D转换器的性能提出了更高的要求。

为保证抽样后的信号保持原信号的信息,A/D转换要满足Nyquist抽样准则,而在实际应用中,为保证系统更好的性能,通常抽样率为带宽的2.5倍。

由于短波通信的频率变化范围较大,对采样频率、位数及动态范围也提出了较高的要求,对此可采用并行A/D转换技术。

高速采样保持电路的时间精度可达纳秒级,通过串/并转换将量化速度降低,提高采样分辨率,这样用多个高速采样保持和A/D可完成超高速转换。

1.3.3DSP处理部分

可编程DSP模块主要由DSP、FPGA(现场可编程门阵列)、FIR专用芯片组、存储器、I/O接口组成。

按照不同的数据处理流程可将DSP模块的功能分为:

与终端的数据交换、自适应调制解调、信道环境分析和管理、SSB调制解调、频率变换等。

DSP是软件无线电的核心部件,但单个DSP的处理速度也是现阶段一个主要的瓶颈。

当单个DSP处理能力不足时,可采用多个DSP芯片的并行来提高运算能力,如Quad-40CMCM处理器包括4片TMS320C40处理器、5MB内存,已用于多频段多模式军用电台。

数字信号处理器(DSP)是整个软件无线电方案的灵魂和核心所在。

软件无线电的灵活性,开放性,兼容性等特点是通过以数字信号处理器为中心的通用硬件平台及DSP软件来实现的,从前端接收来的信号或将从功放发射出去的信号都要经过数字信号处理器的处理:

或进行频谱分析,信号解调,信号类型识别,或进行信号的数字上下变频,或进行各种式样的数字调制,数字滤波,比特流的编码,译码,同步信号的获取等。

软件无线电中的数字信号处理器除了能适应运算的高速度,高精度,大动态范围,大运算量外,还应具有高效率的结构和指令集,较大的内存容量,较低的功耗等特点。

DSP的重要特点是其处理速度远远大于一般的微处理器,功能是快速实现各种运算,尤其在卷积,相关,滤波,FFT等应用要用到的乘法累加运算中更能发挥其作用。

DSP的编程既可以用汇编语言又可以用C语言,极大地方便了其开发人员。

目前的DSP在功能和性能上都还不能满足软件无线电的要求,可以采用多率信号处理技术对采样信号进行预处理后(即所谓的数字下变频器)然后再用DSP来完成各种功能,也可以用多个DSP芯片并行处理的方法来提高DSP的数据处理能力。

1.3.4开放式模块结构

软件无线电的一个重要特点就是其优良的开放性,这主要体现在软件无线电所采用的开放式标准化总线结构,只有采用先进的标准化总线,软件无线电才能发挥其适应性广、升级换代方便等特点。

现有软件无线电研究和试验系统中采用双总线结构,即:

控制总线和高速数据总线。

控制总线结构,如VME总线、PCI总线等,应尽可能采用现有的工业标准,以便于利用已有的软件和硬件平台,加快开发速率。

VME总线是一种支持多机并行处理的高性能总线,市场占有率也很高,故可将VME总线作为软件无线电的首选总线。

1.3.5数字下/上变频器

数字下/上变频器主要是基于前面所述的抽取和内插理论。

数字下变频(DDC)和模拟下变频是一样的,就是输入信号与一个本地震荡信号的乘法运算。

与模拟下变频相比,数字下变频的运算速度受DSP处理速度的限制,同时其运算速度决定了其输入信号数据流可达到的最高速率,相应也限定了ADC的最高采样率。

数字下变频器的组成包括数字混频器,数字控制振荡器(NCO)和低通滤波器。

NCO产生的本振信号输入到数字混频器与输入的信号进行混频。

数字混频器就是一个乘法器,信号经混频后,输出到低通滤波器以滤除倍频分量和带外信号,然后进行抽取处理。

由于下变频器工作原理较简单,可以很方便地利用FPGA或ASIC技术来设计实现。

典型的数字下变频有功能强大的单信道DDC产品HSP50214B及四通道的HSP50216。

数字上变频(DUC)的主要功能是对输入数据进行各种调制和频率变换,即在数字域内实现调制和混频。

典型的代表是只能进行单路数据调制的HSP50215和可进行四路数据调制的GC4114

1.3.6软件协议和标准

软件无线电的评价标准中,软件的可用性是其中很重要的一条。

正研究在软件无线点中如何实现软件的Plug&Play,提出了基于JAVA/CORBA的软件无线电协议和标准。

其中CORBA(CommonObjectRequestBrokerArchitecture,公共对象请求代理体系结构)是由面向对象管理集团(OMG)制订的标准。

1.4软件无线电发展概况

20世纪70~80年代,无线电由模拟向数字全面发展,从无编程向可编程发展,由少可编程向中等可编程发展,出现了可编程数字无线电(PDR)。

由于无线电系统,特别是移动通信系统的领域的扩大和技术复杂度的不断提高,投入的成本越来越大,硬件系统也越来越庞大。

为了克服技术复杂度带来的问题和满足应用多样性的需求,特别是军事通信对宽带技术的需求,提出在通用硬件基础上利用不同软件编程的方法。

20世纪80年代初开始的软件无线电的革命,将把无线电的功能和业务从硬件的束缚中解放出来。

1992年5月在美国通信系统会议上,JesephMitola(约瑟夫·米托拉)首次提出了“软件无线电”(SoftwareRadio,SWR)的概念。

1995年IEEE通信杂志(CommunicationMagazine)出版了软件无线电专集。

当时,涉及软件无线电的计划有军用的speakeasy(易通话),以及为第三代移动通信(3G)开发基于软件的空中接口计划,即灵活可互操作无线电系统与技术(FIRST)。

1996年至1998年间,国际电信联盟(ITU)制订第三代移动通信标准的研究组对软件无线电技术进行过讨论,SDR也将成为3G系统实现的技术基础。

从1999年开始,由理想的SWR转向与当前技术发展相适应的软件无线电,即软件定义的无线电(SoftwareDefinedRadio,SDR)。

1999年4月IEEEJSAC杂志出版一期关于软件无线电的选集。

同年,无线电科学家国际联合会在日本举行软件无线电会议。

同年还成立亚洲SDR论坛。

1999年以后,集中关注使SDR的3G成为可能的问题。

1.5软件无线的应用

1.5.1个人移动通信

软件无线电把硬件作为通信平台,使其尽可能脱离通信体制,信号波形以及通信功能,尽可能多地用软件来实现,可扩展性强,成为第三代移动通信的基石。

把软件无线电技术应用到基站设计即软件无线电基站,它是一种多频段,多模式,多功能可扩展的“智能”基站,它根据不同时间,不同用户,选择最佳的工作频段,工作模式和与用户相适配的功能与用户进行信息交换,以极大地提高通信质量和服务质量。

除此之外,它还可用于多频多模手机,这一技术具有极大地挑战性。

1.5.2军事通信

软件无线电最初是为了解决海湾战争中多国部队各军种进行联合作战时遇到的互通互操作问题而提出的新概念。

1992年提出了软件无线电的最初设想,并于1995年美国国防高级研究计划局提出了SPEAKEASY计划,称之为易通话计划,其最终目的是开发一种能适应联合作战要求的三军统一的多频段,多模式电台,即MBMMR电台。

进而实现联合战术无线电系统(简称JTRS),它是在MBMMR的基础上提出的一种战术通信系统。

1.5.3电子战

电子战的主要特点是频段宽,待处理的信号种类多,而目前的电子战系统往往是在已知或事先假设的几种信号样式下工作,一旦目标信号特征或通信方式发生变化,往往误失战机,所以研究一种工作频段宽,波形适应能力强,可扩展性好,既能适应通信信号,也能适应导航和敌我识别信号的综合电子战系统是现代信息战争的必然要求,软件无线电恰好是解决这一问题的最佳技术途径。

软件化电子侦察接收机是基于软件无线电原理而实现的用于对目标信号进行分析识别,特征提取和参数测量,对通信信号还能解调信息的电子战侦察分析接收机,不仅能对各种通信信号侦察分析,也能对雷达信号,导航信号或是敌我识别信号进行侦察分析,是一种多频段,多模式,多功能的电子战接收机。

1.5.3雷达个信息加电

目前设计研究的雷达往往功能单一,体制单一,无法适应在不同的环境下对不同属性的目标进行智能化跟踪探测的需要。

如果能把软件无线电的设计思想应用于雷达的设计研制,那么就能比较圆满地解决目前雷达设计所存在的问题。

进入20世纪90年代,以高清晰度电视(HDTV)为标志的第三代电视以其接近理想的视听效果和多功能,成为新一代数字电视的发展方向。

但目前在信道编码(调制方式)上还没有统一的国际标准,而且随不同的传输媒介而不同。

基于软件无线电的HDTV解决方案可以较好地解决HDTV面临的这些问题

2信号采样

2.1带通信号采样

带通采样又叫IF采样、调和采样、下奈奎斯特采样和下采样等。

实际中遇到的许多信号是带通型信号。

这种信号的带宽往往远小于信号中心频率。

若带通信号的上截止频率为fH,下截止频率为fL,这时并不需要抽样频率高于两倍上截止频率fH,可按照带通抽样定理确定抽样频率。

带通采样定理:

设带通信号m(t),其频率限制在fL与fH之间,带宽为B=fH-fL,如果最小抽样速率fs=2fH/m,m是一个不超过fH/B的最大整数,那么m(t),可以完全由其抽样值确定。

有带通采样定理的,采样频率=2fh/m,其中m是一个不超过fh/b的整数,fh是上频界,b是带宽。

“低通采样定理”可简称“采样定理”在进行模拟/数字信号的转换过程中,当采样频率fs.max大于信号中最高频率fmax的2倍时(fs.max>=2fmax),采样之后的数字信号完整地保留了原始信号中的信息。

这个结论称为“采样定理”。

一般实际应用中保证采样频率为信.

对带通信号,可以使用等效低通信号表示,只要对其等效低通信号满足奈奎斯特采样定理就可以。

实际的带通信号一般都通过等效低通来实现,之后再通过变频得到带通信号,而一般不直接对带通信号进行采样,这个在通信原理或者信号系统应该有详细说明吧

(1)cos(2π*fc*t)↔(1/2)[δ(f+fc)+δ(f-fc)]g(t)=10cos(120πt)+cos(200πt)G(f)=5[δ(f+60)+δ(f-60)]+[δ(f+100)+δ(f-100)]

(2)滤波器的截止频率=信号最高频率fH=100hz(3)由奈奎斯特低通抽样定理,fs=2fH=200hz(4)由奈奎斯特带通抽可以,但是必须采用一定规律的非均匀采样,否则恢复时时间不匹配抽样定理定义:

在一个频带限制在(0,fh)内的时间连续信号f(t),如果以1/2fh的时间间隔对它进行抽样,那么根据这些抽样值就能完全恢复原信号。

2.2软件无线电中的采样理论

采样理论:

由于软件无线电所覆盖的频率范围一般都要求比较宽,例如从0.1MHZ到2.2GHZ,只有具有这么宽的频段才能具有广泛的适应性。

对于如此宽的频带采用Nyquist低通采样所需的采样速率至少要大于4.4GHZ,在目前很不实际。

所以无法使用Nyquist采样定理,而必须采用带通采样。

一种接近理想化的软件无线电设计方案称为射频直接带通采样软件无线电体制,在天线与A/D间只存在跟踪滤波器和放大器,与软件无线电所要求的A/D尽可能靠近天线的设计宗旨完全一致。

采样速率指模数变换的速率,而分辨率表示变换输出数字数据的比特数。

这2个参数很重要,因为较高的采样速率与分辨率对应了高信噪比和较宽的信号输入带宽。

近几年,A/D器件性能提高得很快,单是采样速率大约每两年就翻一倍。

多速率信号处理:

带通采样定理大大降低了所需的射频采样速率,但从软件无线电的要求来看,带通采样带宽应越宽越好,对信号有更宽的适应性,这样就应当使采样速率尽可能地宽。

然而又会导致后续的信号处理速度跟不上,因此要对A/D后的数据流进行降速处理。

抽取和内插是最基本最重要的基本理论,对于软件无线电的研究及数字下/上变频器的实现有重大作用。

整数倍抽取是把原始采样速序列x(n)每隔(D-1)个数据抽取一个,形成一个新序列xD(m),即xD(m)=x(mD),这样经过抽取的数据流速率只有后者的D分之一,显然大大降低了对后处理速度的要求,也提高了频域分辨率。

这是软件无线电接收机的理论基础。

整数倍抽取和内插都只是频率变换的一种特殊情况,实际中往往用到分数倍变换,它可通过先进行I倍内插,再进行D倍抽取来实现。

(注意必须内插在前,以免引起信号失真)。

高效数字滤波:

实现取样速率变换的主要问题是如何实现抽取前或内插后的数字滤波。

FIR滤波器相对与IIR滤波器有许多独特优越性,线性相位,稳定性等。

可采用窗函数法来设计,简单,直观,但滤波性能不是最佳。

也可采用最佳滤波器的设计。

半带滤波器适合于实现D=2的M幂次方倍的抽取或内插,计算效率也高实时性高。

而在实际的抽取系统中抽取因子D往往不是2的M幂次方,此时可以积分梳状滤波器和半带滤波器结合起来使用。

数字正交变换理论:

对一个实信号进行正交变换而用一个复解析信号来表示是因为从解析信号很容易获得三个特征参数:

瞬时幅度,瞬时相位和瞬时频率,它们是信号分析,参数测量或识别解调的基础。

窄带信号可用解析信号和基带信号表示,对于要满足高虚假抑制的要求,可采用数字正交混频的方法实现,即先对模拟信号x(t)通过A/D采样数字化形成数字序列x(n),然后与两个正交本振序列cos(w0n)和sin(w0n)相乘,再通过数字低通滤波器来实现。

在采样速率很高时,对后续的数字低通滤波实现较困难。

还可以采用基于多相滤波的数字正交变换,需用到抽取和内插理论。

 

3实现信道化发射机的原理与研究

3.1基带处理

信源(信息源,也称发射端)发出的没有经过调制(进行频谱搬移和变换)的原始

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 医药卫生 > 基础医学

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2