篮球比赛数字记分牌.docx

上传人:b****6 文档编号:13496816 上传时间:2023-06-14 格式:DOCX 页数:14 大小:223.84KB
下载 相关 举报
篮球比赛数字记分牌.docx_第1页
第1页 / 共14页
篮球比赛数字记分牌.docx_第2页
第2页 / 共14页
篮球比赛数字记分牌.docx_第3页
第3页 / 共14页
篮球比赛数字记分牌.docx_第4页
第4页 / 共14页
篮球比赛数字记分牌.docx_第5页
第5页 / 共14页
篮球比赛数字记分牌.docx_第6页
第6页 / 共14页
篮球比赛数字记分牌.docx_第7页
第7页 / 共14页
篮球比赛数字记分牌.docx_第8页
第8页 / 共14页
篮球比赛数字记分牌.docx_第9页
第9页 / 共14页
篮球比赛数字记分牌.docx_第10页
第10页 / 共14页
篮球比赛数字记分牌.docx_第11页
第11页 / 共14页
篮球比赛数字记分牌.docx_第12页
第12页 / 共14页
篮球比赛数字记分牌.docx_第13页
第13页 / 共14页
篮球比赛数字记分牌.docx_第14页
第14页 / 共14页
亲,该文档总共14页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

篮球比赛数字记分牌.docx

《篮球比赛数字记分牌.docx》由会员分享,可在线阅读,更多相关《篮球比赛数字记分牌.docx(14页珍藏版)》请在冰点文库上搜索。

篮球比赛数字记分牌.docx

篮球比赛数字记分牌

求计设

r分

20的、以球-,进片分

^^1•

艮匕匕输KK5站代Em臥;牛咐矗码制实具浒D°赛要HLL:

比>3P下据分用用灿根记利KKf、、、舌123

译匕匕段厶冃七小册何能设个体并画,查3。

利^凶势检求『八匕匕匕Mr4K卄田厶冃字心日5氾州粮弟血釘规*择啲果砒祠也⑹据吹结盯M林附®足败第肌具係嚥选一I及用栩;在发二能,知T;一者开个。

供来期之计了一t能彷仁虽、a设少,功喺如躬语使减器等険mw有述,,加示Mil加描拟误全显'?

咔WW砒时r果幟討的真生一一复结剰语够询钱位心真、」曰行4减飞语DD册统m个仙牡込、/3討毗硬。

泌电W的粮电时切合计对大发此'札综设段极触,案技层统阶,D路方扌高系个能电计DA和是各功:

f成设ED真,的的案一组1:

仿力计统、方用管对统能设系计利示使系的在计设显

评定

成绩

指导教师评语

课程设计等级

1课程设计题目'内容与要求

1.1设计内容

1.2具体要求

2系统设计

2.1设计思路

2.2系统原理

3系统实现

4系统仿真

5硬件验证(操作)说明……

6总结

7参考书目

一、课程设计题目、内容与要求

1.1课程设计的题目:

篮球比赛记分牌

1.2课程设计内容:

1、根据比赛实际情况记录两队得分,罚球进的1分,进球的2分;

2、记分牌要具有纠错功能,能减1分、2分功能;

3、利用3个译码显示管输出比赛的分;

二、系统设计

2.1设计思路:

篮球比赛记分牌是记录两队比赛的得分情况,并能够进行纠错功

能;根据系统设计的要求,篮球记分牌的电路原理框图如下:

藪据透捋鶉

貝位

2.2系统原理与设计说明

系统各个模块的功能如下:

1、D触发器电路模块实现翻转功能当出错时,输出为1,使电路回

到上一个正确的状态。

2、4为二进制全加器电路模块实现加法计数功能。

3、移位寄存器电路模块保存比赛两队得分情况的4个相邻状态,出错时将调用上一个正确状态。

4、二选一数据选择器电路模块用来控制移位寄存器

5、LED数码管驱动电路模块

三、系统实现

各模块电路的源程序如下:

1、D触发器电路模块及程序:

SYNC-RSDFF

CLK

Q

«ET

QB

Rt^lET

set输入(Q=1),清零应该可以用复位键reset吧(Q=0)。

libraryieee;

useieee.std」ogic_1164.all;

entitysync_rsdffisport(d,clk:

instd_logic;set:

instd_logic;reset:

instd_logic;q,qb:

outstd_logic);endsync_rsdff;

architecturertl_arcofsync_rsdffisbegin

process(clk)

begin

if(clk'eventandclk='1')thenif(set='0'andreset='1')thenqv='1';qb<='0';

elsif(set='1'andreset='0')thenq<='0';

qb<='1';

elseq<=d;qb<=notd;endif;endif;endprocess;endrtl_arc;

2、移位寄存器模块电路及程序:

useIEEE.std_logic_1164.all;

entityshft_regis

port(

DIR:

instd_logic;

CLK:

instd_logic;

CLR:

instd_logic;

SET:

instd_logic;

CE:

instd_logic;

LOAD:

instd_logic;

SI:

instd_logic;

DATA:

instd_logic_vector(3downto0);data_out:

outstd」ogic_vector(3downto0));

endshft_reg;

architectureshft_reg_archofshft_regis

signalTEMP_data_out:

std_logic_vector(3downto0);begin

process(CLK)

begin

ifrising_edge(CLK)then

ifCE='1'then

ifCLR='1'then

TEMP_data_out<="0000";

elsifSET='1'then

TEMP_data_out<="1111";

elsifLOAD='1'then

TEMP_data_out<=DATA;

else

ifDIR='1'then

TEMP_data_out<=SI&TEMP_data_out(3downto1);else

TEMP_data_out<=TEMP_data_out(2downto0)&SI;endif;

endif;

endif;

endif;

endprocess;

data_out<=TEMP_data_out;

endarchitecture;

3、二选一数据选择器电路模块及程序:

entitymuxisport(do,d1:

inbit;sel:

inbit;q:

outbit);endmux;

architectureaofmuxisbegin

q<=(doandsel)or(notselandd1);enda;

4、加法计数器的电路模块及程序:

 

USEIEEE.STD_LOGIC_1164.ALL;

ENTITYadd4IS

PORT(a1,a2,a3,a4:

INSTD_LOGIC;

b1,b2,b3,b4:

INSTD_LOGIC;sum1,sum2,sum3,sum4:

OUTSTD_LOGIC;

cout4:

OUTSTD_LOGIC);

ENDadd4;

ARCHITECTUREadd_arcOFadd4IS

SIGNALcout1,cout2,cout3:

STD_LOGIC;

COMPONENThalfadd

PORT(a,b:

INSTD_LOGIC;

sum,hcarry:

OUTSTD_LOGIC);

ENDCOMPONENT;

COMPONENTfulladd

PORT(in1,in2,cin:

STD_LOGIC;

fsum,fcarry:

OUTSTD_LOGIC);

ENDCOMPONENT;

BEGIN

u1:

halfaddPORTMAP(a=>a1,b=>b1,sum=>sum1,hcarry=>cout1);

PORT

PORT

PORT

u2:

fulladd

MAP(in1=>a2,in2=>b2,cin=>cout1,fsum=>sum2,fcarry=>cout2);

u3:

fulladd

MAP(in1=>a3,in2=>b3,cin=>cout2,fsum=>sum3,fcarry=>cout3);u4:

fulladd

MAP(in1=>a4,in2=>b4,cin=>cout3,fsum=>sum4,fcarry=>cout4);

ENDadd_arc;

5、七段译码电路及程序:

DELED

DfhTREilCS..Q]QOHmiTC.0]

libraryieee;

useieee.std」ogic_1164.all;

entitydeledis

port(

datain:

instd_logic_vector(3downto0);qout:

outstd」ogic_vector(6downto0)

);

enddeled;

architecturefuncofdeledis

begin

process(datain)

begin

ifdatain="0000"thenqout<="1111110";elsifdatain="0001"thenqout<="0110000";elsifdatain="0010"thenqout<="1101101";elsifdatain="0011"thenqout<="1111001";elsifdatain="0100"thenqout<="0110011";elsifdatain="0101"thenqout<="1011011";elsifdatain="0110"thenqout<="1011111";elsifdatain="0111"thenqout<="1110000";elsifdatain="1000"thenqout<="1111111";elsifdatain="1001"thenqout<="1111011";elsenull;

endif;

endprocess;

endfunc;

四、系统仿真

1、D触发器电路模块仿真波形:

 

 

2、移位寄存器模块电路仿真波形:

Name:

»-SItf-SET

—LOAD

4DIR

AULR

Itf-CLK»UEi^DATA

data_cut

Value:

1

0

0

1

1

1

1

HO

HO

酬IVIPdataoutH0

3、二选一数据选择器电路模块仿真波形:

4、加法计数器的电路模块仿真波形:

■»-b4

b2

i*-b1

I*-a3

a1

3Um4

—sun3

sun2

峙uE

-4^COLtA

r:

沙-m.

&250ns

9SD.Dns

S75Ons

900.0ns

925.Dns

950.0ns

0

0

D

0

a

a

I

J

L

n

0

~1

I

I

I

r

5、七段译码电路仿真波形:

 

Name:

r

也I收

30.0ns

、」

600ns

9Q.0ns

120.0ms

1f:

La

detain

JLa

HO

uL

i

X

2X

3X

4n

-OqoiJE

1

qoutS

1

qout-4

1

qoul3

1

qnii2

1

-L^qoiil

1

qoutO

0

五、硬件验证说明

这次设计采用的硬件电路有芯片EP1K10TC100-3实验板上标准时钟电路、LED显示等,

ALTERA盘

MAX暑

tortsEFM712fiSLCB4-15

六、总结

此课题说明了使用VHD语言设计数字电路的方法以及VHD语言在数字电路设计仿真中的重要作用,仿真结果表明VHDL语言应用于数字电路仿真是切实可行的,该语言在电子设计领域受到了广泛的接受。

用VHDL硬件描述语言的形式来进行数字系统的设计方便灵活,利用EDA软件进行编译优化仿真极大地减少了电路设计时间和可能发生的错误,降低了开发成本,这种设计方法必将在未来的数字系统设计中发挥越来越重要的作用。

通过对本设计项目的研究,使我进一步了解了EDA数字电路等多门课程,

使得所学的专业知识有机地结合起来,得到了实践和运用的机会,且通过实践和运用巩固了相关的理论知识,提高了工程实践能力。

例如:

使用MAX+PLUSI软件和硬件实验平台都得到了充分的锻炼。

设计过程中,由于知识的局限性,我们遇到过很多困难,不知这样把各个功能模块很好的结合起来,耗费了大了量的时间,但我们并没放弃,克服困难,逐步摸索方法,找到问题所在,缩短了设计周期。

从本设计看出,要做真正的设计、研究,我们现在的知识还远远不够,还需学习更多、更广、更先进的知识才行!

七、参考书目

[1]《PLD与数字系统设计》李辉西安电子科技大学出版社2005

[2]《EDA技术及可编程逻辑器件应用实训》沈明山北京科学出版社2004

[3]《VHDL数字系统设计与高层次综合》林敏方颖立著北京:

电子工业出版社

2002

[4]《VHDLS序设计》曾繁泰陈美金著北京:

清华大学出版社2001

⑸《EDA技术实验与课程设计》曹昕燕周风臣清华大学出版社2005

⑹《PLD器件与EDA技术》李冬梅北京广播学院出版社2000

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 解决方案 > 学习计划

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2