EDA课程设计乐曲硬件演奏电路的VHDL设计.docx

上传人:b****6 文档编号:13544062 上传时间:2023-06-15 格式:DOCX 页数:12 大小:444.94KB
下载 相关 举报
EDA课程设计乐曲硬件演奏电路的VHDL设计.docx_第1页
第1页 / 共12页
EDA课程设计乐曲硬件演奏电路的VHDL设计.docx_第2页
第2页 / 共12页
EDA课程设计乐曲硬件演奏电路的VHDL设计.docx_第3页
第3页 / 共12页
EDA课程设计乐曲硬件演奏电路的VHDL设计.docx_第4页
第4页 / 共12页
EDA课程设计乐曲硬件演奏电路的VHDL设计.docx_第5页
第5页 / 共12页
EDA课程设计乐曲硬件演奏电路的VHDL设计.docx_第6页
第6页 / 共12页
EDA课程设计乐曲硬件演奏电路的VHDL设计.docx_第7页
第7页 / 共12页
EDA课程设计乐曲硬件演奏电路的VHDL设计.docx_第8页
第8页 / 共12页
EDA课程设计乐曲硬件演奏电路的VHDL设计.docx_第9页
第9页 / 共12页
EDA课程设计乐曲硬件演奏电路的VHDL设计.docx_第10页
第10页 / 共12页
EDA课程设计乐曲硬件演奏电路的VHDL设计.docx_第11页
第11页 / 共12页
EDA课程设计乐曲硬件演奏电路的VHDL设计.docx_第12页
第12页 / 共12页
亲,该文档总共12页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

EDA课程设计乐曲硬件演奏电路的VHDL设计.docx

《EDA课程设计乐曲硬件演奏电路的VHDL设计.docx》由会员分享,可在线阅读,更多相关《EDA课程设计乐曲硬件演奏电路的VHDL设计.docx(12页珍藏版)》请在冰点文库上搜索。

EDA课程设计乐曲硬件演奏电路的VHDL设计.docx

EDA课程设计乐曲硬件演奏电路的VHDL设计

 

EDA课程设计

 

题目:

乐曲硬件演奏电路的VHDL设计

 

 

一、设计题目:

乐曲硬件演奏电路的VHDL设计

二、设计目标:

1)能够播放“梁祝”乐曲。

2)能够通过LED显示音阶。

3)具有“播放/停止”功能,并在此基础上实现“按键演奏”的电子琴功能。

三、设计原理:

1.音乐基础知识

一段简单乐谱由音调和节拍组成,音调表示一个音符唱多高的频率,节拍表示一个音符唱多长的时间。

音符的节拍我们可以举例来说明。

在一张乐谱中,我们经常会看到这样的表达式,如1=C

、1=G

……等等。

为例加以说明,它表示乐谱中以四分音符为节拍,每一小结有三拍。

比如:

图1

其中1、2为一拍,3、4、5为一拍,6为一拍共三拍。

1、2的时长为四分音符的一半,即为八分音符长,3、4的时长为八分音符的一半,即为十六分音符长,5的时长为四分音符的一半,即为八分音符长,6的时长为四分音符长。

那么一拍到底该唱多长呢?

一般说来,如果乐曲没有特殊说明,一拍的时长大约为400—500ms。

我们以一拍的时长为400ms为例,则当以四分音符为节拍时,四分音符的时长就为400ms,八分音符的时长就为200ms,十六分音符的时长就为100ms。

2.原理图框图:

图2.框图

3.原理图说明

音乐播放原理说明

音符的频率由数控分频器模块Speakera产生。

ToneTaba模块从NoteTabs模块中输入的音符数据,将对应的分频预置数据传送给Speakera模块,并将音符数据送到LED模块显示音阶。

NoteTabs模块中包含有一个音符数据ROM,里面存有歌曲“梁祝”的全部音调,在此模块中设置了一个8位二进制计数器,作为音符数据ROM的地址发生器。

这个计数器的计数频率为4Hz,即每一个数值的停留时间为0.25秒。

例如:

“梁祝”乐曲的第一个音符为“3”,此音在逻辑中停留了4个时钟节拍,即1秒钟时间,所对应的“3”音符分频预置数为1036,在Speakera的输入端停留了1秒。

随着NoTabs中计数器按4Hz的时钟速率作加法计数时,随着地址的递增,音符数据ROM中的音符数据将从ROM中通过输出端口输入到ToneTaba模块,“梁祝”歌曲就开始连续自然地演奏起来。

键盘演奏原理说明

在模块ToneTaba中设置有八个键盘输入端口,分别对应基音“1”、“2”、“3”、“4”、“5”、“6”、“7”和高音“1”八种音调。

当其中某个按键按下时,ToneTaba模块就将对应音符的分频预置数送到数控分频模块Speakera模块产生相应音调,同送将音符送到LED显示模块显示音节。

四、设计内容

1)取音调节拍模块NoteTabs

图5:

NoteTabs实体图

模块实体由时钟输入信号clk、实现暂停与播放功能的使能输入信号en和音符输出端toneindex组成。

时钟输入端clk接入4Hz信号,即0.25秒作为“梁祝”乐曲的基本时钟节拍。

NoteTabs模块设置有个计数器,作为地址计数器,用于从模块内部的music_rom中取音符数据。

NoteTabs模块仿真图如下:

图4

2)音频预置数及演奏按键模块ToneTaba

图5:

ToneTaba实体图

该模块的实体组成端口由音符数据输入端index、音乐演奏或音乐播放选择输入端口yanzhou_en、八个按键输入端口key_1~key_8、及音阶数据输出端口code、音符分频数据输出端口tone组成。

当端口yanzhou_en置‘1’时,进行按键音乐演奏功能,即将对应按键的的音阶的分频数据送至模块Speakera产生音调;当端口为‘0’时,进行音乐播放功能,即将NoteTabs模块输入的音符数据送至模块Speakera产生音调。

ToneTaba模块仿真图如下:

图6

3)数控分频模块Speakera

图7:

Speakera模块实体图

该模块由时钟输入信号端clk、分频数据输入端tone以及音调频率输出端spks组成。

其clk端输入较高的频率(12MHz),通过Speakera分频后由spks输出。

模块仿真图如下:

图8

 

4)软件流程图如下:

图9

五、仿真结果

1.原理图设计:

图10

2.仿真结果图

仿真图1:

音乐播放

图11

仿真图1说明:

音乐演奏或播放选择端yanzhou_en置‘0’,音乐播放、暂停选择键play_pause置‘0’,选择音乐播放功能,其中code端口输出的音符可送入译码器模块显示音阶。

 

仿真图2:

音乐暂停

图12

 

仿真图2说明:

音乐演奏或播放选择端yanzhou_en置‘0’,选择音乐播放功能。

当音乐播放、暂停输入端口play_pause置‘1’时,从图12与图11比较可知,其中的图12的音符6因为暂停而被延长,音乐播放被暂停,当play_pause重新置‘0’时,音乐开始继续播放。

仿真图3:

按键演奏音乐

图13

仿真图3说明:

音乐演奏或播放选择端yanzhou_en置‘1’,选择按键音乐演奏功能。

其中端口tone_1到tone_7对应‘1’至‘7’基音,端口tone_11对应高音‘1’。

通过按不同的按键,即可从端口spkout输出不同的频率,即对应不同的音调。

演奏的音阶通过端口code送入译码器即可演示音阶。

六、总结

本设计完整地实现了音乐的播放、按键演奏音乐、音乐播放与暂停功能,已基本符合课程设计的要求。

通过本次课程设计,更熟练了EDA软件的使用,学会了怎么制作LPM_ROM元器件,加深了对VHDL语言的理解,熟练了利用原理图设计电路的方法,体验到了将自己的创意付诸实践的乐趣。

七、附录

1、音调节拍模块NoteTabs(实现了音乐播放与暂停功能)

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entitynotetabsis

port(en,clk:

instd_logic;--添加了使能端

toneindex:

outstd_logic_vector(3downto0));

end;

architecturebehavofnotetabsis

componentmusic_rom

port(

address:

instd_logic_vector(7downto0);

inclock:

instd_logic;

q:

outstd_logic_vector(3downto0));

endcomponent;

signalcounter:

std_logic_vector(7downto0);

begin

cnt8:

process(clk,counter,en)

begin

ifen='1'thencounter<=counter;--使能音乐播放与暂停

elsifcounter=138thencounter<="00000000";

elsifclk'eventandclk='1'thencounter<=counter+1;endif;

endprocess;

u1:

music_romportmap(address=>counter,q=>toneindex,inclock=>clk);

end;

2、音频预置数及演奏按键模块ToneTaba

libraryieee;

useieee.std_logic_1164.all;

entitytonetabais

port(index:

instd_logic_vector(3downto0);

code:

outstd_logic_vector(3downto0);

tone:

outstd_logic_vector(10downto0);

yanzhou_en:

instd_logic;--音乐演奏使能键

key_1,key_2,key_3,key_4,key_5,key_6,key_7,key_8:

instd_logic);

end;

architecturebehavoftonetabais

signalabc:

std_logic_vector(7downto0);

signaltemp:

std_logic;

begin

abc<=key_8&key_7&key_6&key_5&key_4&key_3&key_2&key_1;

process(index,abc,yanzhou_en)

begin

temp<='0';

ifyanzhou_en='1'thentemp<='1';endif;

iftemp='1'then

caseabcis--按键对应的基音表

when"00000001"=>tone<="01100000101";code<="0001";

when"00000010"=>tone<="01110010000";code<="0010";

when"00000100"=>tone<="10000001100";code<="0011";

when"00001000"=>tone<="10010101101";code<="0101";

when"00010000"=>tone<="10100001010";code<="0110";

when"00100000"=>tone<="10101011100";code<="0111";

when"01000000"=>tone<="10110000010";code<="0001";

when"10000000"=>tone<="10111001000";code<="0100";

whenothers=>null;

endcase;

else

caseindexis

when"0000"=>tone<="11111111111";code<="0000";--2047

when"0001"=>tone<="01100000101";code<="0001";--773

when"0010"=>tone<="01110010000";code<="0010";--912

when"0011"=>tone<="10000001100";code<="0011";--1036

when"0101"=>tone<="10010101101";code<="0101";--1197

when"0110"=>tone<="10100001010";code<="0110";--1290

when"0111"=>tone<="10101011100";code<="0111";--1372

when"1000"=>tone<="10110000010";code<="0001";--1410

when"1001"=>tone<="10111001000";code<="0010";--1480

when"1010"=>tone<="11000000110";code<="0011";--1542

when"1100"=>tone<="11001010110";code<="0101";--1622

when"1101"=>tone<="11010000100";code<="0110";--1668

when"1111"=>tone<="11011000000";code<="0001";--1728

whenothers=>null;

endcase;

endif;

endprocess;

end;

 

3、LED音阶显示:

从ToneTaba模块的输出端code[3..0]输入到译码器模块,即可实现LED显示音阶。

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

USEIEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITYYMQIS

PORT(AIN4:

INSTD_LOGIC_VECTOR(3DOWNTO0);

DOUT7:

OUTSTD_LOGIC_VECTOR(6DOWNTO0));

ENDENTITYYMQ;

ARCHITECTUREARTOFYMQIS

BEGIN

PROCESS(AIN4)IS

BEGIN

CASEAIN4IS

WHEN"0000"=>DOUT7<="0111111";

WHEN"0001"=>DOUT7<="0000110";

WHEN"0010"=>DOUT7<="1011011";

WHEN"0011"=>DOUT7<="1001111";

WHEN"0100"=>DOUT7<="1100110";

WHEN"0101"=>DOUT7<="1101101";

WHEN"0110"=>DOUT7<="1111101";

WHEN"0111"=>DOUT7<="0000111";

WHEN"1000"=>DOUT7<="1111111";

WHEN"1001"=>DOUT7<="1101111";

WHENOTHERS=>DOUT7<="0000000";

ENDCASE;

ENDPROCESS;

ENDARCHITECTUREART;

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 医药卫生 > 基础医学

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2