晶圆代工行业分析报告.docx

上传人:b****6 文档编号:13610027 上传时间:2023-06-15 格式:DOCX 页数:44 大小:2.08MB
下载 相关 举报
晶圆代工行业分析报告.docx_第1页
第1页 / 共44页
晶圆代工行业分析报告.docx_第2页
第2页 / 共44页
晶圆代工行业分析报告.docx_第3页
第3页 / 共44页
晶圆代工行业分析报告.docx_第4页
第4页 / 共44页
晶圆代工行业分析报告.docx_第5页
第5页 / 共44页
晶圆代工行业分析报告.docx_第6页
第6页 / 共44页
晶圆代工行业分析报告.docx_第7页
第7页 / 共44页
晶圆代工行业分析报告.docx_第8页
第8页 / 共44页
晶圆代工行业分析报告.docx_第9页
第9页 / 共44页
晶圆代工行业分析报告.docx_第10页
第10页 / 共44页
晶圆代工行业分析报告.docx_第11页
第11页 / 共44页
晶圆代工行业分析报告.docx_第12页
第12页 / 共44页
晶圆代工行业分析报告.docx_第13页
第13页 / 共44页
晶圆代工行业分析报告.docx_第14页
第14页 / 共44页
晶圆代工行业分析报告.docx_第15页
第15页 / 共44页
晶圆代工行业分析报告.docx_第16页
第16页 / 共44页
晶圆代工行业分析报告.docx_第17页
第17页 / 共44页
晶圆代工行业分析报告.docx_第18页
第18页 / 共44页
晶圆代工行业分析报告.docx_第19页
第19页 / 共44页
晶圆代工行业分析报告.docx_第20页
第20页 / 共44页
亲,该文档总共44页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

晶圆代工行业分析报告.docx

《晶圆代工行业分析报告.docx》由会员分享,可在线阅读,更多相关《晶圆代工行业分析报告.docx(44页珍藏版)》请在冰点文库上搜索。

晶圆代工行业分析报告.docx

晶圆代工行业分析报告

 

2018年晶圆代工行业分析报告

正文目录

图目录

表目录

1、半导体产业第三次转移指向大陆,晶圆代工为大陆机会所在

1.1下游终端需求换代推动历史上半导体产业两次转移

半导体产业起源地为美国,美国迄今仍在IDM模式(从设计、制造、封装测试以及投向消费市场一条龙全包)及垂直分工模式中的半导体产品设计环节占据绝对主导地位,而存储器、晶圆代工及封测等重资产、附加值相对低的环节陆续外迁。

由于半导体属于技术及资本高度密集型行业,只有下游终端需求换代等重大机遇来临时,新兴地区通过技术引进、劳动力成本优势才有机会实现超越,推动产业链迁移。

第一次半导体迁移发生在大型计算机时代,存储器制造环节由美国向日

本转移。

日本凭借规模化生产技术占据成本和可靠性优势,成为DRAM(动态随机存取存储器)主要供应国。

此次迁移对上游带动作用明显,即便后期日本丧失存储器优势,迄今仍在上游原材料、设备领域占据领先地位。

第二次半导体迁移发生在PC时代,PC对DRAM的诉求由可靠性转变为低价,韩国凭借劳动力优势取代日本的地位,至今仍主导存储器市场。

与此同时,台湾首创垂直分工模式,逐步形成IC(集成电路)设计、晶圆代工、封测联动的产业集群。

随着全球移动产品盛行、迭代速度更快,垂直分工模式以其更短的产品生命周期及更具竞争性的价格逐渐占据主导地位,长期引领全球圆晶代工、封测等环节。

图1:

半导体产业历史迁移路径

1.2、大陆具备能力把握机遇,成为半导体产业第三次迁移地

当前为IOT等下一轮终端需求换代酝酿期,为大陆半导体产业崛起创造机遇,并提供技术积累的时间窗口。

我们预计未来五年半导体市场仍将由智能手机硅含量增加主导,汽车电子、物联网等新兴领域为高增长亮点。

在手机领域,国产手机终端品牌话语权不断增大,持续推动大陆电子产业向高端零部件拓展,对最为核心的芯片产业的带动作用正逐渐彰显。

而IOT、汽车电子等新兴产品对制程要求不高,主要聚焦于成熟制程,大陆半导体各环节厂商已具备相应能力,并与国际厂商同步布局。

基于此,我们判断大陆半导体产业在国家政策资金重点扶持下,通过技术积累、及早布局,具备能力把握潜在需求换代机遇,成为半导体产业第三次迁移地。

1.2.1、国内下游市场需求旺盛,IC自给率提升空间大

中国是全球最大的半导体消费市场,半导体需求量全球占比由2000年的7%攀升至2016年的42%,成为全球半导体市场的增长引擎。

然而,大陆半导体产业发展与其庞大的市场需求并不匹配,IC仍大程度依赖于进口。

据SEMI统计,2016年本土芯片自给率仅为25%,且预计未来三年自给率仍不到30%,国产IC自给率仍有相当大的提升空间。

图2:

2016年中国集成电路贸易逆差额达1656亿美元

图3:

2010-2019E本土芯片供应与需求量对比

1.2.2政策资金已然到位,资源加速集中促成长

半导体产业属高度技术及资金密集型产业,需要国家层面在政策倾斜、

资金补贴、技术转让、人才获取等多方位支持。

为避免大陆IC产业过度依

赖进口,中国政府已将半导体产业发展提升至国家战略高度,并针对设计、

制造、封测各环节制定明确计划。

图4:

中国半导体产业各环节政策目标及支持

国家集成电路产业投资基金(大基金)首期募资规模达1387.2亿元人

民币,截至2017年9月已进行55余笔投资,承诺投资额已达1003亿元,

且二期募资正在酝酿中。

同时由“大基金”撬动的地方集成电路产业投资基

金(包括筹建中)达5145亿元,合计基金规模达6531亿元人民币,引导

中国大陆半导体业产能建设及研发进程加快,生产资源加速集中最终实现竞

争力提升。

1.3大陆半导体核心产业链逐步规模化

半导体产业链分为核心产业链、支撑产业链。

核心产业链包括半导体产

品的设计、制造及封装测试。

支撑产业链则包括为设计环节服务的EDA(电

子设计自动化)工具及IP核供应商、为制造封测环节服务的原材料及设备

供应商。

半导体支撑产业链由欧美日本垄断,大陆厂商与国际龙头技术及规模差

距甚大。

EDA工具环节由美国绝对主导,IP核由英美两国主导,大陆企业

在此领域涉足甚少。

原材料由日本主导,大陆企业在靶材、抛光液个别领域

已达国际水平,但在硅片、光罩、光刻胶等核心领域仍有较大差距。

设备环

节仍主要由欧美、日本垄断,大陆企业在MOCVD等个别细分领域有所突破。

大陆半导体核心产业链环节正逐步规模化,陆续诞生跻身全球前十的龙

头厂商。

大陆芯片设计业:

全球市占率已达22%,龙头企业为华为海思、紫光。

尽管全球IC设计业已渐趋放缓,但大陆IC设计市场成长迅速,未来三年复合增长预计提速至30%。

然而大多数企业仍在盈利线上挣扎,成长质量亟待提升。

绝大部分企业聚焦中低端市场,在CPU、存储器等高端通用领域与国际先进水平差距较大。

大陆晶圆代工业:

全球市占率为10%,相对薄弱,龙头企业为中芯国际、

华虹。

下游IC设计业快速成长带来晶圆代工刚需,叠加政策资金重点扶持,预计未来三年复合增速在15%以上。

大陆代工厂仍未完全掌握28nm及以下先进工艺,较国际龙头仍有两代技术差距,产品利润率不甚理想。

大陆芯片封测业:

全球市占率已达17%,龙头企业为长电、华天、通富

微电。

在上游晶圆代工业带动下,未来三年复合增速预计维持在10-15%。

大陆企业技术逐渐向一线靠齐,预计未来三年利润率逐年改善。

图5:

半导体核心产业链各环节大陆龙头与全球龙头企业平均净利率对比

图6:

中国IC设计市场规模及其占比(单位:

十亿美元)

图7:

中国IC制造业销售额增长迅猛(单位:

亿人民币)

图8:

全球半导体产业链各环节龙头厂商

1.4率先突破微笑曲线底部封测,晶圆代工为下一机会所在

不同于传统产业微笑曲线“产品设计—制造—销售”,半导体产业链中

由IC设计商同时负责IC设计及营销服务,由晶圆代工厂负责晶圆工艺研发

及制造,因此微笑曲线路径为“IC设计—晶圆代工—封测—IC设计”。

IC设计环节轻资产,同时具备技术壁垒及渠道壁垒,附加值最高;晶圆

代工环节重资产,技术壁垒较高,附加值较高;封测环节重资产,技术壁垒

相对低,附加值相对低。

经我们测算,IC设计、晶圆代工、封测环节全球前

十大厂商平均ROE水平与微笑曲线路径基本吻合。

微笑曲线底部封测环节

ROE最低为12%,曲线中部晶圆代工环节ROE居中为15%,曲线顶部IC设计环节ROE最高达21%。

图9:

半导体产业微笑曲线

大陆已率先突破微笑曲线底部封测环节,伴随着封测业盈利质量提升拐

点来临。

我们判断,大陆半导体崛起将沿着微笑曲线由底部向两端发展,封

测之后的下一突破口便是晶圆代工。

IC制造为当前国家政策重点支持环节,

在一期大基金承诺投资额占比高达63%。

期待大陆晶圆代工企业在获取资本

支持后,加快缩小与国际领先者的技术差距,依托本土高速成长的IC设计

需求,尽快实现盈利质量提升。

图10:

大基金一期各产业链的承诺投资额占比

表1:

大基金相继投资中芯国际、三安光电、士兰微、长江存储、耐威科技、华虹半导体六大制造企业

2、大陆晶圆代工技术相对滞后,把握现有制程市场机遇

2.1、全球代工市场增长平稳,最先进制程创造增量空间

智能手机、PC等下游应用和产品升级要求高端芯片在性能及功耗指标

上进一步提升,目前仍有赖于半导体技术节点的持续缩小来实现。

技术节点

与晶体管沟道长度相对应,伴随着技术节点缩小,IC信息处理速度提升,单

个晶体管尺寸减小实现功耗降低,以及集成度提升实现成本下降。

全球纯晶圆代工市场增长平稳,2017年全球纯晶圆代工市场规模预计

达520亿美元,同比增速为6%。

在智能手机市场增速放缓、物联网、汽车

电子等新兴终端应用尚未放量背景下,当前全球纯晶圆代工市场的增量空间

主要来自人工智能、加密货币等高性能计算应用持续向最先进制程迁移(当

前采用14nm及以下节点)。

据IHS预测,2017年14nm及以下先进制程市场规模预计达110亿美元,同比增长42%;而28nm及以上旧节点市场需求相对稳定,市场规模基本维持在410亿美元。

鉴于10nm已于2H17开始逐步放量,高端AP、加密货币等对10nm需求旺盛,我们预计2018年10nm将继续放量,加之7nm于2H18突破放量,产品迁移有望带动全球纯晶圆代工市场增长提速至9%。

图11:

2005-2021E全球纯晶圆代工厂各制程市场规模及预测(单位:

十亿美元)

2.2、技术制程决定发展路径,大陆厂商在二三线阵营

晶圆制造属于技术及资本密集型行业,其最关键的技术为制造流程的精

细化技术,为攻克最先进制程需巨额资本开支及研发投入。

行业寡头竞争特

征愈发明显,2016年全球前十大纯晶圆代工企业联合市场份额达94.2%。

台湾占据全球晶圆代工市场绝对主导地位。

台积电以58.3%的市占率独

占鳌头,联电以9.3%的市占率位居第三,力晶科技、世界先进亦跻身前十,

四家市占率合计达71%。

大陆占据全球纯晶圆代工市场10%的份额,市场规模约50亿美元。

芯国际以5.7%的市占率位居全球第四位,占据大陆代工厂的绝对龙头地位。

华虹宏力营收以1.6%的市占率位居全球第八位,华力微电子、华润、武汉

新芯及上海先进等中小型代工厂跻身前二十。

各晶圆代工厂商市场位势基本由其最先进节点所决定。

根据其最先进节

点划分为三大阵营,大陆晶圆代工厂仍位于二三线阵营,中芯国际作为大陆

先进工艺标杆在二线阵营,华虹、武汉新芯、华润等在三线阵营。

台积电垄

断地位稳固,技术及规模优势明显,而中芯、华虹等大陆晶圆代工厂战略层

面仍处于避免与台积电正面竞争的状态,通过聚焦差异化市场、提供定制化

服务以构建自身位势,把握现有制程市场机会。

中芯在指纹识别、eNVM、

电源管理、MCU等细分领域具备较为深厚的产品及客户基础。

而华虹的核

心竞争力则在于智能卡及IGBT、超级结等功率器件。

表2:

2016年全球前十大纯晶圆代工企业排名

2.2.1、一线阵营:

抢占先进制程迁移红利

Intel、三星、台积电、格罗方德四大一线阵营厂商获取增长方式有二:

1)通过大规模研发及资本投入,跑在先进制程竞赛前列,抢占产品向先进

制程迁移红利,把握高性能计算(14nm及以下制程)市场增长,享受技术

溢价;2)行业需求疲软时,在旧节点市场降价抢单施加同业竞争压力,带

来后排厂商产能利用率、利润率下行风险。

台积电与三星均于2017Q1实现10nm的量产,Intel预计于2018年量

产。

格罗方德虽然当前仍处于净亏损状态,在先进制程投入上却仍较为激进,

于2015年末量产14nm,并计划跳过10nm直接攻克7nm。

图12:

各晶圆制造商技术节点突破时间对比

2.2.2、二线阵营:

把握28nm长周期机遇

最先进制程停留或即将攻克28nm这一长周期节点的厂商归入二线阵营,

其在先进制程投入方面相对保守。

二线厂商短时间不能把握HPC高性能计

算市场,获取增长的方式有二:

1)在攻克自身最新节点后,以较同业更低

的价格来争取订单,但会拖累利润率;2)在现有制程领域,打造自身特色

工艺平台来增强竞争力,缓解同业降价压力,拉动利润率。

基于先进制程供给及需求的考量,28nm为目前单位逻辑闸成本最小的

技术节点,长周期制程属性明显,预计大多数产品将逐步向更先进制程迁移,

达致28nm后因其更高性价比及广泛的应用领域将停留较长时间。

二线厂商

攻克28nm后,已足以满足绝大多数半导体产品的制程需求,我们认为通过

把握现有制程市场推出特色工艺平台提升竞争力,仍可享受平稳增长。

图13:

2016年全球纯晶圆代工厂28nm及以上技术制程营收占比达83%

图14:

对于晶圆制造,28nm为单位逻辑闸成本最小技术节点

图15:

2025年28nm制程预计仍会是市场最大宗

联电:

基于先进制程研发不及预期、成本结构拖累利润的困境,联电已

选择退居二线阵营,14nm于2017Q1实现量产但产能规模较小,且在10nm、7nm尚未有公开计划。

中芯国际:

起步较晚,与前三大主导厂商仍间隔两代的技术差距,于2015

年末推出28nmPolySiON,于2016年底实现28nmHKMG小批量生产,目前仍处于良率爬坡阶段。

且与华为、高通及比利时微电子中心合作,加紧开发14nm工艺,预计于2019年前实现14nm的量产。

华力微电子:

国内华虹集团成员企业,最先进制程为40nm,28nm已成功流片,但营收规模较中芯国际差距明显。

2.2.3、三线厂商:

专注8寸特色工艺平台

相较前两大阵营,后排厂商技术差距明显,技术节点大多停留在8寸微

米制程级别。

由于8寸晶圆厂已基本折旧完毕且制程研发投入甚小,利润率

相对较为理想。

鉴于8寸市场需求较为稳定,且晶圆厂产能扩张空间有限,

8寸晶圆代工厂业绩弹性相对较小,产能利用率提升将带来其盈利水平进一

步改善。

TowerJazz与Panasonic合作的日本晶圆厂最先进制程为45nm;台湾

厂商力晶科技非存储器工艺制程仍停留在55nm;国内厂商武汉新芯最小技

术节点为45nm;而世界先进、DongbuHiTek、X-Fab以及国内华虹宏力、

华润上华、上海先进等均专注于8寸特色工艺平台,最新技术节点为90nm

及以上成熟制程。

3、享受大陆市场高成长,本地优势为突围关键

3.1、大陆厂商占据本地优势,包揽大陆代工半壁江山

当前大陆IC设计客户普遍制程要求相对较低,大多仍处于向28nm制

程迁移的过程中,对成熟制程需求依然旺盛。

大陆晶圆代工厂已掌握28nm

及以上节点,具备能力满足大多数大陆客户需求。

相较于台积电等海外厂商,

大陆代工厂在同等制程上可为大陆客户提供更高的产能保证,配备自身最优

质的资源,且基于自身地域优势,产品生产周期得以缩短,因此大陆客户在

技术相当的前提下更加倾向于选择本地代工厂。

随着大陆半导体虚拟IDM生

态不断完善,大陆IC设计与晶圆代工厂之间的优先合作关系将得以升级,

带来大陆晶圆代工厂的本地优势持续巩固。

结合国内外晶圆代工厂营收及中国区营收占比,我们预计2017H1大陆

纯晶圆代工市场规模约35亿美元。

尽管在全球范围内大陆晶圆代工厂销售

份额仅为10%,但在大陆市场,大陆晶圆代工厂整体销售份额约达35%,

可见大陆晶圆厂本地化优势明显。

分厂商而言,台积电依旧占据大陆代工市

场的半壁江山,但垄断程度有所减弱,销售份额约46%。

中芯国际以20%

的份额稳居第二,华虹则以6%的份额位居第五位。

图16:

2017H1大陆晶圆代工销售份额分布

结合各晶圆代工厂中国区营收及晶圆ASP,我们预计2017H1大陆市场

晶圆代工出货量合计约4400K。

考虑到大陆厂商ASP相对更低,市场出货

份额进一步向大陆厂商集中达67%。

分厂商而言,台积电占据大陆市场最大

出货,但份额收窄至28%。

中芯国际以22%的份额紧随其后,华虹则以11%

的市占率跻身前三。

图17:

2017H1大陆晶圆代工出货份额分布

3.2、外资企业在华扩产迅速,但对大陆代工业现有制程业务冲击有限

大陆IC设计市场增长远高于全球,下游广袤市场吸引国内外厂商纷纷

在大陆增设晶圆产能,抢食高速增长的大陆市场份额。

当前中国大陆12寸

及8寸现有晶圆产线合计36条(包括现有产线20条,在建及计划16条),

其中超过50%的晶圆产线(包括现有12条,在建及计划8条)均集中在存

储器或IDM业务(包括:

三星、英特尔、SK海力士三大国际IDM厂商在大

陆的晶圆产线,和大陆长江存储、晋华集成、士兰微等企业的晶圆产线),

与纯晶圆代工业务重合度较小。

剔除IDM或存储器业务晶圆产线后,就纯晶圆代工,内资外资厂商在建

及计划产线合计8条,且基本集中在12寸。

在建及计划12寸晶圆厂产线合

计7条,计划产能合计为375K,在建8寸纯晶圆代工产线仅中芯国际1条,

计划产能为105K。

表3:

中国大陆现有、在建及计划12寸晶圆代工厂情况及2016-2021年产能复合增速预测

表4:

中国大陆现有、在建及计划8寸晶圆代工厂情况及2016-2021年产能复合增速预测

基于2016年及以前建成的现有晶圆厂2016-2021年间产能年均增长5%

或于2021年达致最大设计产能,2017年建成及2018/2019年投产晶圆厂

将于2021年达到85%/80%/70%的计划产能的假设,我们预计2021年大陆

8寸晶圆代工厂产能将达865K/m,2016-2021年间复合增速为6%,内资及外资晶圆代工厂产能扩张均较为平稳;2021年大陆12寸晶圆代工厂产能将达457K/m,2016-2021年间复合增速达24%,在内资及外资晶圆厂的共同推动下预计将进入快速扩张状态。

表5:

2016-2021年间大陆8寸内资晶圆厂及外资晶圆厂产能复合增速预测

表6:

2016-2021年间大陆12寸内资晶圆厂及外资晶圆产能复合增速预测

从产能角度看,台积电、联电大陆晶圆产能扩张仍面临台湾法规限制其

大陆晶圆厂30亿美元单笔投资额限制,现有或在建大陆12寸晶圆厂计划产

能仅为20K,产能规模优势尚不能彰显。

据我们预测,外资12寸晶圆厂虽

扩张更为迅速,但2021年12寸产能占比仍仅为21%,对大陆晶圆代工业

产能冲击影响有限。

从制程角度看,台积电、格罗方德、联电三大国际领先厂商在大陆布局

重点均在28nm及以下制程。

联电已在厦门厂引入28nm产线;台积电、格

罗方德均在大陆建设12寸晶圆厂,陆续引入16nmFinFET及22nmFD-SOI

制程。

我们认为,外资晶圆厂在华扩产或在先进制程领域给大陆厂商施加竞

争压力,倒逼其完善28nm产品组合并加速攻克14nm。

然而大陆晶圆代工

厂当前营收贡献基本来自28nm以上的成熟节点,外资晶圆厂28nm及以下

先进节点产能释放对大陆代工业现有制程业务影响较小。

鉴于下游IC设计业快速成长带来晶圆代工刚需,大陆代工厂产能规模

及本地化优势依旧稳固,我们认为大陆晶圆代工厂通过把握现有制程市场仍

能实现快速成长,预计未来三年大陆晶圆代工业复合增速在15%以上。

4、对标台湾晶圆双雄,看大陆龙头成长路径

大陆晶圆代工业仍处起步阶段,技术及规模较主导地区台湾差距明显。

随着全球摩尔定律放缓、下游国产终端品牌崛起、国家加速重视及资金扶持,

大陆晶圆代工业已进入关键成长期。

清楚认知与台湾主导厂商间的差距及优

劣势,以便明晰发展路径实现精准发力,显得尤为重要。

台积电为全球晶圆代工业绝对龙头,代表攻克最先进制程10nm的一线

阵营厂商;联电、中芯国际最新技术节点分别为14nm/28nm,分列全球市

占率第三、四位,代表攻克长周期制程28nm的二线阵营厂商,且中芯国际

为大陆晶圆代工业先进工艺标杆,占据大陆晶圆代工市场绝对龙头地位(市

占率为57%);华虹专注于8寸特色工艺平台,代表聚焦成熟制程的三线阵

营厂商。

因此本文选取台湾晶圆代工两大龙头厂商台积电、联电与大陆两大龙头

厂商中芯国际、华虹半导体,就经营属性(包括技术制程结构、下游应用结

构、晶圆ASP、产能利用率)、财务指标(营收增速、利润率、资本开支、研发费用及资本-营收/净利润转化率等)进行多维度横向对比,由此一窥全球晶圆代工业不同阵营厂商经营属性及大陆晶圆代工业前景。

4.1、营收增长:

中芯有望于2021年赶超联电跻身全球前三

纵观台积电、联电、中芯国际及华虹的历史业绩数据,可以发现:

2005-2007年间,便携式音乐播放器、移动电话等电子消费品的半导体芯片需求旺盛,带动台积电、联电、中芯国际三家公司营收持续增长。

2008年美国次贷危机爆发引发全球金融危机,联电及中芯均出现大额净亏损。

2009年全球半导体市场全面衰退,联电营收继续下滑,全球代工龙头台积电营收亦同比下滑11%,而中芯因管理层变动影响营收下降更为明显,同比下降21%。

在全球宽松货币政策的驱动下,2010年全球经济强势反弹,刺激半导体需求大幅上扬,台积电、联电及中芯营收同比增速分别为41%、38%、45%。

2011年全球GDP增速回落,半导体市场景气度未明,三家公司营收增速均迅速回落,而中芯因经营权之争,营收下滑则更为严重。

2012-2014年间,智能手机、平板等终端设备兴起,半导体市场整体回暖,晶圆代工市场因此受益。

三年间台积电营收增速分别高达19%、18%、28%;联电增长已显乏力,同比增长率分别为-1%、7%、13%;中芯2012年及2013年营收增速分别为29%、22%,2014年因武汉业务退出影响而暂时负增长;华虹营收同比增速分别为-6%、2%、14%,逐渐恢复健康增长。

2015年智能手机市场增速骤然放缓,终端电子市场新的增长点还未充分显现,晶圆代工业增速亦开始放缓。

基于台积电在全球代工业的霸主地位继续巩固,台积电2015、2016、2017年营收仍然实现11%、10%、9%的同比增长。

而中芯国际作为大陆晶圆代工龙头,则得以享受大陆市场的高速增长,2015、2016年营收同比增长率分别为14%、30%,2017年受先进制程迁移影响营收增速骤

然放缓至6%。

与此同时,联电则处于前后夹击的困境中,论技术制程不及台积电,论大陆市场竞争力则不及中芯国际,2015、2016年营收增长相较前两者依旧疲软,同比增长率仅为3%、0%,2017年受汇率调整影响美元计收入实现同比增长7%,利润率受折旧研发拖累降幅明显。

华虹2015年营收亦有所下降,而后迅速回升,受益于8寸需求旺盛,2016、2017年维持11%、12%的稳健增长。

图18:

2005-2017年台积电、联电与中芯国际、华虹宏力的业绩对比及动因分析

鉴于台积电在先进制程、产能、人才、客户等多维度卡位优势明显,预计台积电在未来五年仍将延续绝对霸主地位,营收规模保持略高于全球代工业的健康增长。

格罗方德相比联电在先进制程领域投入更为激进,市占率预计将保持在第二位。

基于先进制程研发未及预期、成本结构拖累利润的困境,联电已选择退出先进制程竞赛,停留在14nm节点,营收增长动力略显不足。

随着AP等产品加速向28nm及以下制程迁移,中芯国际营收由前期的高速增长切换至平稳增长阶段,从战略层面由前期的把握现有制程切换至优化28nm工艺及加速14nm先进制程。

目前14nm已进入集中研发攻克阶段,与联电的技术差距逐步缩减。

鉴于28nm技术及良率瓶颈期突破,部分产品向40nm及55/65nm迁移

带动12寸成熟工艺需求回暖、差异化工艺平台的陆续发布以及中国区优势

地位,预计中芯国际未来三年复合增速达15%。

我们保守预计中芯国际及联

电未来六年营收复合增速分别为15%/5%,中芯国际与联电的规模差距将持

续缩减,有望于2023年赶超台联电。

4.2、制程结构:

技术节点相对滞后,聚焦差异化寻求增长

台积电制程结构高端化明显,主要聚焦于先进制程市场。

2017年65nm

及以下制程营收占比为80%,前三大主力制程分别为16/20nm、28nm、

40/45nm。

其中28nm及以下制程营收占比已达58%。

随着苹果A11处理器

顺利出货,10nm制程开始放量,营收占比由2017Q2的1%迅速爬升至

2017Q4的25%。

联电同时兼顾先进制程及成熟工艺市场。

2017年65nm及以下制程及

90nm及以上营收占比分别为57%、43%,前两大主力制程分别为40nm、

28nm。

28nm及以下先进制程营收占比则为17%,基本由28nm制程贡献。

于2017Q1攻克1

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 求职职场 > 简历

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2