基于fpga的数字时钟 1 学位论文.docx

上传人:b****1 文档编号:14076428 上传时间:2023-06-20 格式:DOCX 页数:48 大小:1.10MB
下载 相关 举报
基于fpga的数字时钟 1 学位论文.docx_第1页
第1页 / 共48页
基于fpga的数字时钟 1 学位论文.docx_第2页
第2页 / 共48页
基于fpga的数字时钟 1 学位论文.docx_第3页
第3页 / 共48页
基于fpga的数字时钟 1 学位论文.docx_第4页
第4页 / 共48页
基于fpga的数字时钟 1 学位论文.docx_第5页
第5页 / 共48页
基于fpga的数字时钟 1 学位论文.docx_第6页
第6页 / 共48页
基于fpga的数字时钟 1 学位论文.docx_第7页
第7页 / 共48页
基于fpga的数字时钟 1 学位论文.docx_第8页
第8页 / 共48页
基于fpga的数字时钟 1 学位论文.docx_第9页
第9页 / 共48页
基于fpga的数字时钟 1 学位论文.docx_第10页
第10页 / 共48页
基于fpga的数字时钟 1 学位论文.docx_第11页
第11页 / 共48页
基于fpga的数字时钟 1 学位论文.docx_第12页
第12页 / 共48页
基于fpga的数字时钟 1 学位论文.docx_第13页
第13页 / 共48页
基于fpga的数字时钟 1 学位论文.docx_第14页
第14页 / 共48页
基于fpga的数字时钟 1 学位论文.docx_第15页
第15页 / 共48页
基于fpga的数字时钟 1 学位论文.docx_第16页
第16页 / 共48页
基于fpga的数字时钟 1 学位论文.docx_第17页
第17页 / 共48页
基于fpga的数字时钟 1 学位论文.docx_第18页
第18页 / 共48页
基于fpga的数字时钟 1 学位论文.docx_第19页
第19页 / 共48页
基于fpga的数字时钟 1 学位论文.docx_第20页
第20页 / 共48页
亲,该文档总共48页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

基于fpga的数字时钟 1 学位论文.docx

《基于fpga的数字时钟 1 学位论文.docx》由会员分享,可在线阅读,更多相关《基于fpga的数字时钟 1 学位论文.docx(48页珍藏版)》请在冰点文库上搜索。

基于fpga的数字时钟 1 学位论文.docx

基于fpga的数字时钟1学位论文

毕业论文(设计)

 

题目:

基于FPGA的数字时钟设计

 

目录

摘要I

AbstractII

1绪论1

1.1研究问题背景和现状1

1.2研究目的及意义1

1.3设计内容及目标2

1.3.1研究内容2

1.3.2研究目标2

2系统设计方案3

2.1控制方案的选择3

2.2时钟电路的选择3

2.3校时控制电路的选择3

2.4显示电路的选择4

3系统电路总体设计5

3.1系统设计总体框图5

3.2电源供电电路设计5

3.2.1外围电路电源设计5

3.2.2芯片电源电路设计6

3.2.3电源滤波电路6

3.3FPGA芯片及其引脚7

3.4JTAG下载配置电路设计8

3.5时钟信号电路设计9

3.6复位电路9

3.7键盘电路设计10

3.8人机显示电路10

3.9整点报时电路设计11

4FPGA内部程序设计12

4.1分频器的程序设计12

4.2秒计数器程序设计13

4.3分计数器程序设计15

4.4小时计数器程序设计16

4.5日计数器程序设计16

4.6月计数器程序设计17

4.7年计数器程序设计18

4.8键盘控制程序设计19

4.9LCD1602程序设计20

4.10顶层文件设置及编译下载21

5总结22

5.1结论22

5.2设计中遇到的问题22

参考文献23

致谢24

附录:

25

附录1最小系统及配置电路图25

附录2系统外围电路图26

附录3系统设计程序27

附录4顶层原理图及引脚设置43

基于FPGA的数字时钟设计

摘要

利用FPGA器件设计数字电路,不仅可以将时钟的硬件电路和设计流程简化,而且可以减小本设计系统的前期成本与模块体积,提高了系统的稳定性,缩短设计周期。

FPGA不仅可以实现简单的74系列的电路逻辑设计,而且可以作为具有高性能的CPU,来控制总系统运行。

本设计采用EP1K10TC100-1芯片作为控制CPU,整个系统采用VHDL语言,50MHZ的晶振产生时钟脉冲,用VHDL语言设计分频器获得秒信号及其他时钟信号,经过计数器分别对年、月、日、时、分、秒的控制逻辑累加形成计数模块,并通过独立键盘对计数模块进行调整以达到调时间的目的,系统通过1602液晶显示输出。

系统利用QuartusII软件进行程序软件的编译、仿真、引脚设置、总线接口及配置、下载来完成整个设计。

关键词:

数字时钟;FPGA;VHDL语言

DesignofDigitalClockBasedonFPGA

Abstract

UsingFPGAcomponenttodesigndigitalcircuitnotonlymaysimplifytheclockhardwarecircuitandthedesignprocess,moreovermayreducethisdesignsystem'scostandthevolumetoenhancesystem'sreliability.FPGAcannotonlyachievethe74seriescircuitlogic,andcanbeusedashigh-performanceCPUtocontrolthetotalsystemoperation.

This design uses the EP1K10TC100-1 chip to control CPU. The overall system uses the VHDL language. The 50MHZ crystal oscillator produces the clock pulse. The VHDL language obtains a second signal and other clock signal .Design frequency divider passes through the counter separately to the year, the month, Japan, divides, the second control logic accumulation to form the counting module, and makes the adjustment through the independent keyboard to the counting module to achieve the goal of adjusting the time, and the system display output through 1602 liquid crystal. The system carries on the procedure software's translation, the simulation, the pin establishment, the bus interface and the disposition, downloading using the Quartus II software to complete the entire design.

Keywords:

digitalclock;FPGA;VHDLlanguage

 

1绪论

1.1研究问题背景和现状

随着生活节奏的加快,我的生活、工作、学习都离不开时间这个概念。

从开始机械式的钟表,到现在数字式时钟,时钟的发展并没有停下脚步。

数字时钟的发展是伴随着数字电路的发展,传统的数字时钟主要由多个的数字集成电路(触发器和计数器)组成,开始只用作科研或者军事用途,改革开放之后,民用数字时钟才开始发展,广泛用于机场、汽车、火车站、医院等公共场合,主要是通过发光二级管作为数字时钟的显示器。

由于需要的集成器件较多,当时的数字时钟的体积较大,而且逻辑性较差。

后来可编程逻辑器件的出现简化了数字时钟的外围电路,降低了成本。

随着LCD液晶显示器的出现,数字时钟的显示在部分场合取代了以往的数码管显示。

传统的数字时钟发展这么多年,在部分场合依然应用,可见其有自己独特的地方。

不需要软件控制,基本固定的电路原理图,技术含量要求较低,对于很多生产厂家来说,方便投产。

但是,在比较精密的场合,不考虑成本的情况下,在功耗、稳定性上要求提高。

由于传统数字时钟器件较多,电路功耗就会提高,芯片容易发热,导致整个电路的寿命降低。

1.2研究目的及意义

随着产品设计研发成本越来越高,设计周期要求越来越快,电子工程师不得不选择更加方便、快捷、高性能、低功耗的芯片来满足市场的需求,FPGA器件以绝对的优势脱颖而出。

近年来绿色、环保、低功耗越来越得到大家的认可,然而应科技而生的电子垃圾、高功耗、低效率成了环保新病。

因此如何通过降低功率损耗来减少电源系统的元件和电路板的面积,提高系统的可靠性,延长器件的寿命成为现在电子研发的首要考虑问题。

可见,对用FPGA芯片系统的研究意义深远。

FPGA进入到第三个阶段:

向传统的ASIC领域大刀阔斧地进军,最新工艺铲平了FPGA和ASIC之间的鸿沟。

[1]

用FPGA设计数字时钟,利用其超大规模的集成逻辑电路,可以减少外围电路的元器件,从而减小整个系统的体积,很大的节约成本;FPGA芯片功耗低,减少了热量的产生,降低温结,提高了系统的可靠性,而且延长器件的使用寿,对系统有着非常大的促进作用。

程序利用VHDL语言设计,VHDL支持硬件的设计、验证、综合和测试,以及硬件设计数据的交换、维护、修改和硬件的实现,具有描述能力强、生命周期长、支持大规模的分解和已有设计的再利用的特点。

[2]

采用了“自顶向下”(Top-Down)的全新设计方法,是设计师们摆脱了大量的辅助设计工作,而把精力集中于创造性的方案与概念构思上,用新的思路来发掘硬件设备的潜力,从而极大地提高了设计效率,缩短了产品的研制周期[3]

1.3设计内容及目标

1.3.1研究内容

(1)硬件电路方案和总体设计

(2)利用QuartusII完成系统分频、计数、按键控制、液晶显示的内部逻辑

1.3.2研究目标

(1)掌握并利用ProtelDXP搭建所需要的硬件电路。

(2)在QuartusII软件上用Vhdl语言编写相关应用程序。

(3)利用FPGA芯片完成数字时钟。

 

2系统设计方案

2.1控制方案的选择

方案一:

整个系统采用数字集成电路控制。

采用555电路产生1HZ时钟脉冲,秒信号送给计数器,经过多级计数,然后将数据送给译码电路,最后通过数码管显示时间。

由于本设计需显示年、月、日、时、分、秒,因此需要的计数器、译码器、数码管会比较多,从而会增加设计难度,系统的体积也会增大,稳定性变差,功耗较大。

方案二:

采用FPGA芯片作为控制芯片,用VHDL语言编程实现内部逻辑;将必要电路电路、下载电路、控制电路、显示电路、晶振等集成放在一块板子上,完成时间显示。

集成器件大大的减少,硬件电路得到简化,从而减少了干扰,提高了稳定性,减小了功率损耗,延长了系统的寿命。

比较以上方案,选择方案二。

2.2时钟电路的选择

方案一:

采用555电路产生1HZ的秒信号作为时钟信号。

但是由于FPGA芯片属于高速芯片,而且用555产生的秒信号不稳定,还会引起功耗增加的问题,所以不选此电路,但可作为备用时钟电路考虑。

方案二:

采用有源晶振产生高频率高质量的时钟脉冲,用VHDL语言设计分频电路,得到需要的秒信号时钟。

有源晶振是无源晶振和逻辑电路的组合体,能够稳定的产生需要的时钟脉冲,而且可以通过VHDL语言进行分频,获得所需的时钟脉冲。

综上所述,由于系统软件部分需要高精度的多个时钟脉冲,因此选择方案二。

2.3校时控制电路的选择

方案一:

采用独立键盘接口方式,每个按键都过上拉电阻接到一个I/O口,每个按键就会独自占用一条I/O数据线,控制方便,编程简单,但是I/O的利用率会降低,因此适用于按键较少,简化程序的系统中。

方案二:

采用矩阵键盘的接口方式,如4*4矩阵键盘,仅需要8个I/O口,提高了I/O口的利用率,适用于按键较多、I/O不足的系统中,但是编程比较复杂,逻辑性较强。

本设计仅需要7个按键,且由于FPGA芯片I/O较多,很多处于闲置状态,因此选择方案一。

2.4显示电路的选择

方案一:

采用LED数码管显示时间。

数码管方便,编程简单,数字显示较大,显示效果好,而且价格便宜。

但是显示内容少,多字符显示时会增加数码管的数量,而且外围硬件电路较为繁琐复杂,增加了系统的体积和系统的功耗。

方案二:

采用1602液晶显示时间。

显示两行32位字符,用户方便读写,内部CGRAM中已有较多字符,方便取用,外围电路简单,适用于显示内容较少,且对图像汉字没有太大要求的系统中。

方案三:

采用12864液晶显示时间。

屏幕较大,可以显示多行,显示各种字符、汉字、图像等,用户很方便读写,外围电路也很简单,占用I/O口较少,节约系统资源。

适用于显示内容较多的场合。

本设计只需显示两行就可以,而且对汉字、图像没有要求,在充分考虑成本和系统资源的情况下,选择方案一。

 

3系统电路总体设计

3.1系统设计总体框图

系统硬件主要为满足FPGA芯片工作的最小系统及其外围电路组成。

FPGA芯片选用EP1K10TC100-1,最小系统包括晶振电路、下载电路、电源电路、复位电路组成;外围电路主要包括按键控制、液晶显示电路和电源指示电路等等基于人机界面设计的电路整个系统工作总体框图如下:

图3-1系统工作总体框图

3.2电源供电电路设计

3.2.1外围电路电源设计

由于系统采用LCD1602液晶显示,通常使用5V直流电源作为工作电压,因此需要设计一个将市电转换到5V的降压整流电路。

先将220V交流电经过变压器降压9V,然后整流,LM7805内部是三端稳压电源电路,可以将8V到25V的电源稳压到5V直流电源,最后经过电容多级滤波平滑输出波形。

主体电路图如下:

图3-25V直流电源设计

3.2.2芯片电源电路设计

FPGA芯片所需电压主要有核心电压和I/O口电压,另外还有辅助电压作为部分外围电路的控制电压。

EP1K10TC100-1的核心电压为DC1.5V,I/O口电压为DC3.3V。

考虑FLSH芯片擦写电流较大,5V转3.3V采用AMS1085-3.3稳压芯片,然后用AMS1085-1.5将3.3V稳压到1.5V的核心电压,由于1085系列芯片输出电流在10mA到3A区间范围内,可以满足电流要求。

在5V输入侧加上稳压二极管增加电源系统的稳定性,芯片电源电路图如下:

图3-3EP1K10TC100-1最小系统电源及电源接口电路

3.2.3电源滤波电路

为保证FPGA芯片能够正常工作,供电电源电压不允许有波动,尤其是核心电压。

稳定的电源电压才能保证FPGA正常启动并能正常运行,因此需要在电源电路中加入滤波电路,以保证芯片有着稳定的输入电压,提高系统的稳定性,滤波电路图如下:

图3-4电源滤波电路图

主要针对FPGA芯片的3.3V和1.5V电源与地之间做了滤波的处理,相对于布线紧密的PCB来说,减小了线与线之间的信号干扰,提高了稳定性。

3.3FPGA芯片及其引脚

图3-5EP1K10TC100-1芯片及引脚

系统采用EP1K10TC100-1作为核心控制芯片,芯片为贴片式的,有100个引脚。

核心电源采用1.5V直流电源,I/O口电源采用3.3V电源。

芯片及引脚图如图3-5。

3.4JTAG下载配置电路设计

JTAG是一种标准的国际测试协议,本系统的下载线路主要用于对FLSH存储器件EPCS1的在线编程,可以用于对FPGA的内部测试。

在FPGA芯片上有四个关于JTAG下载电路的功能引脚,TMS、TDI、TDO、TCK分别为测试时钟输入、测试数据输入、测试数据输出、测试模式选择接口。

电路图如下:

图3-6下载配置电路模块

FLSH电路的设置是将FLSH的地址线、数据线和控制线与FPGA的数据线、地址线和控制线相连接,将FPGA设置在EXTEST模式下,利用电脑的并口,将程序写入FLSH,并在烧写完程序后进行校验测试。

为防止其他信号的干扰,电脑与JTAG下载线的尽量短,尽量少于15cm。

此部分加入了下载指示灯LED1可以监控下载状态,当指示灯亮时表明正在进行信息传输,文件进行下载。

还设置了RE按键,可以在不断电的情况下重新对FPGA进行配置。

3.5时钟信号电路设计

由于FPGA属于高速芯片,因此对输入时钟的频率及其稳定性都有较高的要求。

本系统采用50MHZ的有源晶振作为时钟的产生电路,不需要内部处理器的振荡器,信号稳定。

有源晶振有4只引脚,有点的为1脚,逆时针依次为2、3、4脚,引脚接法如图3-7。

1脚悬空,2脚接地,3脚输出,4脚接3.3V电压。

有源晶振不能直接接电源,需要做好电源的滤波,保证产生信号的质量,接法如下图使用一个电容和电感构成PI型滤波网络。

在输出端用一个47Ω的电阻来过滤信号。

图3-7系统时钟信号电路

555电路作为系统的备用秒信号产生脉冲电路,当有源晶振电路出现故障,手动切换之备用电路。

T=tw1+tw2,tw1=0.7(R13+R14)C5,tw2=0.7R13C5,系统中选取R13为62KΩ,R14为20K,获得的周期为1.008S的秒信号。

3.6复位电路

系统采用简单地高电平进行复位,将电源通过电容与电阻串联后接地,RESET松开时,CLK为低电平,系统正常工作;RESET按下后电源直接通过电阻接地,CLK端为高电平,系统复位。

复位电路图如下:

图3-8复位电路设计

3.7键盘电路设计

在人机交互界面中,键盘模块作为输入模块作用重要。

对于系统的时钟的复位,年、月、日、时、分、秒的校时控制就是通过键盘来实现的。

由于FPGA的I/O口较多,而且控制按键又不很多,本系统采用独立键盘的作为输入控制电路,如图3-9所示。

STW0到STW6分别接到芯片选好的I/O接口上。

每按下一次STW0,时钟的分个位加1,满10进1,依次STW1、STW2、STW3、STW4分别为时、日、月、年的控制按键,STW5为秒信号的复位按键,每按下一次,秒复位为0,STW6为LCD1602液晶复位按键。

图3-9独立键盘的设计电路

3.8人机显示电路

系统设计要显示年、月、日、时、分、秒,不需要其他的内容显示,因此选用LCD1602作为显示模块,可以显示实时时间,还可以看到现在正在更改设定的时间,使操作人性化。

LCD1602带有基本的数字、24个英文字母的大小写及其部分字符,能够满足设计要求,显示两行,每行显示16个字符,设计第一行显示内容为“2012y6m10dZHAO”,第二行显示内容为“17:

30:

00FPGA”,共32个字符。

引脚接线图如图3-10所示。

LCD1602有16个引脚,1、2分别为电源地、电源,3引脚为对比度调节,电路中接入10K的电位器,来调节对比度。

15、16分别为背光电源地、背光电源正极。

6引脚为使能端,4引脚为数据/命令选择端,5引脚为读/写选择端。

7到14为双向数据口,本设计采用并口工作方式对LCD1602进行读写控制。

电源采用5V直流电源,功耗较低。

图3-10LCD1602外围设计电路

3.9整点报时电路设计

系统采用有源蜂鸣器作为整点报时器件,整点时分,TOUT置为高电平,蜂鸣器发出“嘀--”的声音,经过延时30S后,TOUT置为低电平,蜂鸣器关闭。

为减小芯片负载输出,利用5V电源通过三极管驱动蜂鸣器报时。

设计电路图如下:

图3-11整点报时电路设计

4FPGA内部程序设计

本设计采用顶层文件设计方法,设计顺序为自下而上的,利用顶层文件将各个子模块系统联系起来,方便随时调用。

首先设计各个子模块,然后根据子模块的输入输出,设计出顶层文件,利用顶层文件将连接各个子模块,是各个模块组成一个整体,完成软件设计。

EDA最大的优势就是能将所有设计环节纳入统一的自顶向下的设计方案中。

[4]

4.1分频器的程序设计

系统时钟采用50MHZ时钟脉冲信号,经过25000000分频,然后取反,输出clk1HZ,得到秒信号;同理经过50000分频,取反,得到clk500HZ的信号。

秒信号用来产生秒的时钟计数脉冲,作为计数器的初始脉冲,500HZ信号用作键盘控制模块的按键消抖和LCD显示模块的时钟信号。

[5]1HZ分频器的程序设计流程图如下:

图4-11HZ时钟信号设计流程图

500HZ分频器程序框图和1HZ原理相同,只是将判断语句换成count=49999。

利用Quartus

软件进行对程序进行编译,编译成功后生成原理图,以方便在顶层文件中取用。

软件成功编译显示示例图如下:

图4-2程序编译成功

分频器有一个输入,两个输出,原理图如下:

图4-3分频器原理图

原理图绘制成功后对分频器进行功能仿真,clk50MHZ输入50MHZ的时钟信号,由于需要观察秒信号,需设置endtime为2s,观察2个周期的秒信号,测试仿真结果,根据程序设计观察时序结果,功能仿真如图4-4、4-5所示。

根据仿真结果可以看出,高电平结束为一个周期的结束,clk1HZ的周期刚好为1s,clk500HZ的周期为2ms。

图4-41HZ功能仿真结果

图4-5500HZ功能仿真结果

4.2秒计数器程序设计

由分频器得到的秒信号送给秒时钟计数器,输出分进位信号和秒显示的BCD码信号,秒计数器原理图如下:

图4-6秒计数器原理图

原理图中,clk1HZ为分频器输出的1HZ的时钟脉冲;secset为键盘SWT5按下的秒复位输入信号,高电平有效;min_set为键盘SWT0按下时的分个位加一输入信号。

enmin为分钟进位信号,作为下一级的分计数器的输入信号;sout[6..0]的高3位为秒信号的十位输出信号,低4位为秒信号个位输出信号。

秒信号计数器主要是将输入秒信号分别作10进制和6进制计数。

程序设计框图如下:

图4-7秒计数器程序设计流程图

由设计程序流程图可知,当secset=1时,sout[6..0]=”0000000”,秒显示“00”;当count[3..0]=”1001”计数器向十位进1,否则count=count+1;当count[6..4]=”101”时,count清零,minset=1,发出分时钟信号,否则count=count+7,即十位进1。

秒计数器功能仿真波形如图4-8所示。

由于需要观察60s后向分进位时序波形,设置endtime为100s,输入clk1HZ为1s时钟信号,假设secset和min_set均为0的情况下,测试仿真情况。

由仿真波形可知,60s时,enmin置1,维持1s后再回到低电平;当59s时,sout[6..0]=”1011001”,将高3位与低4位分别转换成对应的秒信号的十位与个位的十进制为刚好是59。

图4-8秒计数器功能仿真波形

4.3分计数器程序设计

由于分钟也是60进制,因此本设计的分钟计数器同秒计数器的原理相同,只是将输入和输出稍作修改,原理图如下:

图4-9分计数器原理图

enmin为秒信号产生的分钟进位脉冲,周期为1min;clk1HZ为秒信号脉冲,用作信号脉冲调制;hour_set为键盘的SWT1,即小时的输入控制信号;enhour为小时进位脉冲输出;minout[6..0]为分钟显示的二进制数,同sout[6..0]相同,高3位为十位,低4位为个位;spk为整点报时信号,当计数器向时计数器进位时说明整点,给spk高电平,蜂鸣器响。

程序设计流程图参考秒计数器程序设计流程图,仿真波形图如下:

图4-10分计数器仿真波形

由于Quartus

软件仿真最大时间为s,设定enmin周期1s时钟脉冲,来假定分钟信号enmin=1min,clk1HZ依次为0.1s,设置endtime为100s。

60s时,enhour=1,表示产生小时信号,观察59s时的minout[6..0]波形,minout[6..0]=1011001,正好为59.

4.4小时计数器程序设计

小时计数器的设计主要是利用十六进制的高二位与低四位设计的,设计原理同秒计数器。

Enhour为时进位时钟信号;clk1HZ为1HZ的时钟脉冲,day_set为键盘控制日进位设置,每来一个脉冲enday加1则向日计数器输入一个进位脉冲,表示日加一;hout[5..0]控制时显示的BCD码。

设计原理图如下:

图4-20时计数器原理图

4.5日计数器程序设计

日计数器总体思路与秒计数器一致。

但是考虑到一年中的不同月份的的天数长短不一样,而且闰年与平年的二月份的天数又不相同,因此日计数器需要由年、月来共同决定日的长短。

通过sel[2..0]对日的长短时间控制,如表4-1所示。

Sel[0]为年的输出控制量,0表示闰年,1表示平年;sel[1]为月的输出控制量,0表示1、2、3、5、7、8、10、12月份(每月有31天),1表示其他月份,包括二月和其他30的月份;sel[2]月的输出控制中的二月,1表示2月,0为其他月份。

表4-1日长短逻辑控制表

年sel[0]

月sel[1]

二月sel[2]

——

0

0

0

31

1

0

0

——

0

1

0

30

1

1

0

29

0

0

1

28

1

0

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 农林牧渔 > 林学

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2