基于VHDL语言的电子密码锁的设计交.docx

上传人:b****2 文档编号:1411893 上传时间:2023-04-30 格式:DOCX 页数:51 大小:1.16MB
下载 相关 举报
基于VHDL语言的电子密码锁的设计交.docx_第1页
第1页 / 共51页
基于VHDL语言的电子密码锁的设计交.docx_第2页
第2页 / 共51页
基于VHDL语言的电子密码锁的设计交.docx_第3页
第3页 / 共51页
基于VHDL语言的电子密码锁的设计交.docx_第4页
第4页 / 共51页
基于VHDL语言的电子密码锁的设计交.docx_第5页
第5页 / 共51页
基于VHDL语言的电子密码锁的设计交.docx_第6页
第6页 / 共51页
基于VHDL语言的电子密码锁的设计交.docx_第7页
第7页 / 共51页
基于VHDL语言的电子密码锁的设计交.docx_第8页
第8页 / 共51页
基于VHDL语言的电子密码锁的设计交.docx_第9页
第9页 / 共51页
基于VHDL语言的电子密码锁的设计交.docx_第10页
第10页 / 共51页
基于VHDL语言的电子密码锁的设计交.docx_第11页
第11页 / 共51页
基于VHDL语言的电子密码锁的设计交.docx_第12页
第12页 / 共51页
基于VHDL语言的电子密码锁的设计交.docx_第13页
第13页 / 共51页
基于VHDL语言的电子密码锁的设计交.docx_第14页
第14页 / 共51页
基于VHDL语言的电子密码锁的设计交.docx_第15页
第15页 / 共51页
基于VHDL语言的电子密码锁的设计交.docx_第16页
第16页 / 共51页
基于VHDL语言的电子密码锁的设计交.docx_第17页
第17页 / 共51页
基于VHDL语言的电子密码锁的设计交.docx_第18页
第18页 / 共51页
基于VHDL语言的电子密码锁的设计交.docx_第19页
第19页 / 共51页
基于VHDL语言的电子密码锁的设计交.docx_第20页
第20页 / 共51页
亲,该文档总共51页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

基于VHDL语言的电子密码锁的设计交.docx

《基于VHDL语言的电子密码锁的设计交.docx》由会员分享,可在线阅读,更多相关《基于VHDL语言的电子密码锁的设计交.docx(51页珍藏版)》请在冰点文库上搜索。

基于VHDL语言的电子密码锁的设计交.docx

基于VHDL语言的电子密码锁的设计交

摘要

EDA技术的应用引起了电子产品系统开发的革命性变革。

利用先进的EDA工具,基于硬件描述语言,可以进行系统级数字逻辑电路的设计。

本文简述了VHDL语言的功能及其特点,并以4位串行手机键盘电子密码锁设计为例,介绍了一种在QuartusⅡ6.0开发软件下,基于VHDL硬件描述语言的复杂可编程逻辑器件(CPLD)的新型电子密码锁设计方法,阐述了其工作原理和软硬件设计方法。

该密码锁通过扫描电路、键盘译码电路、弹跳消除电路、控制电路和七段译码电路等实现了密码输入、数码清除、密码激活、电锁解除、密码更改和误码报警等功能。

本论文对该密码锁的程序进行了功能和时序仿真,结果表明该密码锁的功能满足设计要求,能够实现正常开锁、上锁和修改密码。

该密码锁体积小、功耗低、易于维护和升级,有很好的市场前景。

关键词:

EDA,VHDL,FPGA,QuartusⅡ,电子密码锁

ABSTRACT

ElectronicproductandsystemdevelopmentarebeingchangedrevolutionarilywithEDAtechnology.WecandesignallkindsofdigitallogicalcircuitswithadvancedEDAtoolsandVHDL.ThepaperintroducesthefunctionandcharacteristicofprogrammingofVHDLlanguagebriefly.Takingthedesignoftheserial4-bitelectroncodedlockforexample,thispaperintroducesanewwaytodesignelectroncodedlockwithCPLDandQuartusⅡ6.0asdevelopmentsoftwareandVHDLashardwaredescriptionlanguage.Itsoperatingprinciplesandthedesigningmethodsofhardwareandsoftwareareexplainedinthisarticle.Thiscodedlockcanperformthefollowingfunctions,suchascodeinput,codeclear,codeactivating,codereleasing,codecorrectingandwrongcodealarmingbyscanningcircuit,keyboarddecodecircuit,bounceeliminatingcircuit,controlcircuit.Thefunctionalandtimingsimulationsaremade.Theresultsshowthatthedesignspecificationoftheelectroniccodedlockismetandtheunlock/lockandcodecorrectingcanberealized.Thisnewelectroncodedlockhassmallsize,lowpower.Itsmaintenanceandupgradeareveryconvenient.Andithasabetterapplicationprospect.

Keywords:

EDA,VHDL,FPGA,QuartusⅡ,Electroncodedlock

第1章引言

1.1课题背景

自古以来,锁具都是人们心目中的“铁将军”,随着社会物质财富的日益增长和人们生活水平的不断提高,人们对它要求也越来越高,即要安全可靠,又要使用方便。

传统的机械锁由于其密码量少,构造简单,安全性差,结构上的局限已难以满足当前社会管理和防盗要求,特别是在人员经常变动的公共场所,如办公室、宾馆、仓库等地方。

电子密码锁是集计算机技术、电子技术、数字密码技术为一体的机电一体化高科技产品,由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的亲呢。

而目前大部分电子密码锁采用单片机进行设计,电路比较复杂,性能不够灵活,其成本高且可靠性较差。

因此,密码锁的安全性和实用性一直是锁具的制造者长期以来研究的主题。

电子密码锁是在实际应用中使用得极其广泛的一种数字电路,它的主要功能是用来对某些物品进行加密保护,目的是避免无权人员使用某些设施或者进行越权操作,例如银行自动柜员机、自动售货机、门卡系统或者保险柜中都含有这种数字密码锁。

在电子技术飞速发展的今天,具有防盗报警等功能的电子密码锁代替弹子锁和密码量少、安全性差的机械式密码锁已是必然趋势。

电子密码锁具有机械锁无可比拟的优越性,它不但可以完成锁本身的功能,还可以兼有多种功能,如记忆、识别、报警等,电子密码锁以其安全性高、成本低、功耗低、易操作等优点受到越来越多人的欢迎。

通常不同系统中的电子密码锁具有不同的功能和操作过程,但基本是相同的,首先输入密码,然后系统进行密码验证,最后根据密码验证的结果来进行不同的操作。

运用大规模、超大规模可编程逻辑器件与EDA(电子设计自动化)技术已经成为现代数字技术发展的潮流,20世纪90年代,集成电路产业销售额增长最快的产业是现场可编程逻辑集成电路。

HDL非常适用于可编程逻辑器件的应用设计。

尤其在大容量CPLD和FPGA的应用设计中,若采用以往的布尔方程或门级描述方式,很难快速有效地完成。

VHDL能提供高级语言结构,方便地描述大型电路,快速地完成设计。

它支持设计单元库的创建,以存储设计中重复使用的元件。

它是一种标准语言,它的设计描述可被不同的工具所支持,可用不同器件来实现。

1.2国内外研究现状

据有关资料介绍,电子密码锁的研究从20世纪30年代就开始了,在一些特殊场所早就有所应用。

这种锁是通过键盘输入一组密码,完成开锁过程。

研究这种锁的初衷,就是为提高锁具的安全性。

由于电子锁的密钥量(密码量)极大,可以与机械锁配合使用,并且可以避免因钥匙被仿制而留下安全隐患。

电子锁只需记住一组密码,无需携带金属钥匙,免除了人们携带金属钥匙的烦恼,而被越来越多的人所欣赏、电子锁的种类繁多,例如数码锁,指纹锁,磁卡锁,IC卡锁,生物锁等。

但较实用的还是按键式电子密码锁。

20世纪80年代后,随着电子锁专用集成电路的出现,电子锁的体积缩小,可靠性提高,成本较高,只适合使用在安全性要求较高的场合,且需要有电源提供能量,使用还局限在一定范围,难以普及,所以对它的研究一直没有明显进展。

目前,在西方发达国家,电子密码锁己被广泛应用于智能门禁系统中,通过多种更加安全,更加可靠的技术实现大门的管理。

在我国电子密码锁的成本还很高,应用还不广泛。

希望通过不断的努力,使电子密码锁在我国也能得到广泛应用。

目前市场上的几类密码锁都存在不同程度的缺陷:

操作繁琐;密码输入不易;密码量少;无法实现声音报警功能;也无法拒绝非法用户多次尝试。

1.3课题主要研究工作

近年来,电子密码锁的使用越来越广泛,目前使用的电子密码锁大部分是基于单片机用分离元件实现的,其电路比较复杂、性能不够灵活、成本较高且可靠性和安全性差。

基于此,为了克服当前市面上的密码锁的不足以满足用户的不同需求,本设计采用先进的EDA技术和VHDL语言,在QuartusⅡ环境下,采用CPLD(复杂可编程逻辑芯片)为核心器件的新型电子密码锁的设计方法,该电子密码锁具有密码预置、误码报警和万能密码等功能、体积小、功耗底、价格便宜、安全可靠,维护和升级都十分方便;具有较好的应用前景。

本课题要完成的主要任务是基于VHDL语言的电子密码锁的设计和具体的实现,重点完成各个模块的设计,并保证整个系统的稳定性、可靠性和扩展性,充分考虑后续阶段的开发。

第2章EDA技术与VHDL语言简介

2.1EDA技术

2.1.1EDA技术的发展

EDA是电子设计自动化(ElectronicDesignAutomation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。

EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

EDA技术的出现,极大地提高了电路设计的效率和可靠性,减轻了设计者的劳动强度。

人类社会已进入到高度发达的信息化社会,信息社会的发展离不开电子产品的进步。

现代电子产品在性能提高、复杂度增大的同时,价格却一直呈下降趋势,而且产品更新换代的步伐也越来越快,实现这种进步的主要原因就是生产制造技术和电子设计技术的发展。

前者以微细加工技术为代表,目前已进展到深亚微米阶段,可以在几平方厘米的芯片上集成数千万个晶体管;后者的核心就是EDA技术。

EDA是指以计算机为工作平台,融合了应用电子技术、计算机技术、智能化技术最新成果而研制成的电子CAD通用软件包,主要能辅助进行三方面的设计工作:

IC设计、电子电路设计以及PCB设计。

没有EDA技术的支持,想要完成上述超大规模集成电路的设计制造是不可想象的,反过来,生产制造技术的不断进步又必将对EDA技术提出新的要求。

回顾近30年电子设计技术的发展历程,可将EDA技术分为三个阶段。

七十年代为CAD阶段,这一阶段人们开始用计算机辅助进行IC版图编辑和PCB布局布线,取代了手工操作,产生了计算机辅助设计的概念。

八十年代为CAE阶段,与CAD相比,除了纯粹的图形绘制功能外,又增加了电路功能设计和结构设计,并且通过电气连接网络表将两者结合在一起,以实现工程设计,这就是计算机辅助工程的概念。

CAE的主要功能是:

原理图输入,逻辑仿真,电路分析,自动布局布线,PCB后分析。

九十年代为ESDA阶段。

尽管CAD/CAE技术取得了巨大的成功,但并没有把人从繁重的设计工作中彻底解放出来。

在整个设计过程中,自动化和智能化程度还不高,各种EDA软件界面千差万别,学习使用困难,并且互不兼容,直接影响到设计环节间的衔接。

基于以上不足,人们开始追求贯彻整个设计过程的自动化,这就是ESDA即电子系统设计自动化。

ESDA代表了当今电子设计技术的最新发展方向,它的基本特征是:

设计人员按照"自顶向下"的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(HDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件。

这样的设计方法被称为高层次的电子设计方法。

下面介绍与ESDA基本特征有关的几个概念。

(1)“自顶向下”的设计方法

10年前,电子设计的基本思路还是选择标准集成电路“自底向上”(Bottom-Up)地构造出一个新的系统,这样的设计方法就如同一砖一瓦地建造金字塔,不仅效率低、成本高而且还容易出错。

高层次设计给我们提供了一种“自顶向下”(Top-Down)的全新的设计方法,这种设计方法首先从系统设计入手,在顶层进行功能方框图的划分和结构设计。

在方框图一级进行仿真、纠错,并用硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证。

然后用综合优化工具生成具体门电路的网表,其对应的物理实现级可以是印刷电路板或专用集成电路。

由于设计的主要仿真和调试过程是在高层次上完成的,这不仅有利于早期发现结构设计上的错误,避免设计工作的浪费,而且也减少了逻辑功能仿真的工作量,提高了设计的一次成功率。

(2)ASIC设计

现代电子产品的复杂度日益加深,一个电子系统可能由数万个中小规模集成电路构成,这就带来了体积大、功耗大、可靠性差的问题,解决这一问题的有效方法就是采用ASIC(ApplicationSpecificIntegratedCircuits)芯片进行设计。

ASIC按照设计方法的不同可分为:

全定制ASIC,半定制ASIC,可编程ASIC(也称为可编程逻辑器件)。

设计全定制ASIC芯片时,设计师要定义芯片上所有晶体管的几何图形和工艺规则,最后将设计结果交由IC厂家掩膜制造完成。

优点是:

芯片可以获得最优的性能,即面积利用率高、速度快、功耗低。

缺点是:

开发周期长,费用高,只适合大批量产品开发。

半定制ASIC芯片的版图设计方法有所不同,分为门阵列设计法和标准单元设计法,这两种方法都是约束性的设计方法,其主要目的就是简化设计,以牺牲芯片性能为代价来缩短开发时间。

可编程逻辑芯片与上述掩膜ASIC的不同之处在于:

设计人员完成版图设计后,在实验室内就可以烧制出自己的芯片,无须IC厂家的参与,大大缩短了开发周期。

可编程逻辑器件自七十年代以来,经历了PAL、GAL、CPLD、FPGA几个发展阶段,其中CPLD/FPGA属高密度可编程逻辑器件,目前集成度已高达200万门/片,它将掩膜ASIC集成度高的优点和可编程逻辑器件设计生产方便的特点结合在一起,特别适合于样品研制或小批量产品开发,使产品能以最快的速度上市,而当市场扩大时,它可以很容易的转由掩膜ASIC实现,因此开发风险也大为降低。

上述ASIC芯片,尤其是CPLD/FPGA器件,已成为现代高层次电子设计方法的实现载体。

2.1.2EDA技术的基本设计方法

EDA技术的每一次进步,都引起了设计层次上的一个飞跃。

物理级设计主要指IC版图设计,一般由半导体厂家完成,对电子工程师并没有太大的意义,因此本文重点介绍电路级设计和系统级设计。

(1)电路级设计

电子工程师接受系统设计任务后,首先确定设计方案,同时要选择能实现该方案的合适元器件,然后根据具体的元器件设计电路原理图。

接着进行第一次仿真,包括数字电路的逻辑模拟、故障分析、模拟电路的交直流分析、瞬态分析。

系统在进行仿真时,必须要有元件模型库的支持,计算机上模拟的输入输出波形代替了实际电路调试中的信号源和示波器。

这一次仿真主要是检验设计方案在功能方面的正确性。

仿真通过后,根据原理图产生的电气连接网络表进行PCB板的自动布局布线。

在制作PCB板之前还可以进行后分析,包括热分析、噪声及窜扰分析、电磁兼容分析、可靠性分析等,并且可以将分析后的结果参数反标回电路图,进行第二次仿真,也称为后仿真,这一次仿真主要是检验PCB板在实际工作环境中的可行性。

由此可见,电路级的EDA技术使电子工程师在实际的电子系统产生之前,就可以全面地了解系统的功能特性和物理特性,从而将开发过程中出现的缺陷消灭在设计阶段,不仅缩短了开发时间,也降低了开发成本。

(2)系统级设计

进入90年代以来,电子信息类产品的开发出现了两个明显的特点:

一是产品的复杂程度加深,二是产品的上市时限紧迫。

然而电路级设计本质上是基于门级描述的单层次设计,设计的所有工作(包括设计输入,仿真和分析,设计修改等)都是在基本逻辑门这一层次上进行的,显然这种设计方法不能适应新的形势,为此引入了一种高层次的电子设计方法,也称为系统级的设计方法。

高层次设计是一种“概念驱动式”设计,设计人员无须通过门级原理图描述电路,而是针对设计目标进行功能描述,由于摆脱了电路细节的束缚,设计人员可以把精力集中于创造性的概念构思与方案上,一旦这些概念构思以高层次描述的形式输入计算机后,EDA系统就能以规则驱动的方式自动完成整个设计。

这样,新的概念得以迅速有效的成为产品,大大缩短了产品的研制周期。

不仅如此,高层次设计只是定义系统的行为特性,可以不涉及实现工艺,在厂家综合库的支持下,利用综合优化工具可以将高层次描述转换成针对某种工艺优化的网表,工艺转化变得轻松容易。

EDA技术是电子设计领域的一场革命,目前正处于高速发展阶段,每年都有新的EDA工具问世。

2.2VHDL简介

2.2.1VHDL语言

硬件描述语言(HDL-HardwareDescriptionLanguage)是一种用于设计硬件电子系统的计算机语言,它用软件编程的方式来描述电子系统的逻辑功能、电路结构和连接形式,与传统的门级描述方式相比,它更适合大规模系统的设计,而且VHDL语言可读性强,易于修改和发现错误。

HDL是电子系统硬件行为描述、结构描述、数据流描述的语言。

目前利用硬件描述语言可以进行数字电子系统的设计。

随着研究的深入,利用硬件描述语言进行模拟电子系统设计或混合电子系统设计,也正在探索中。

硬件描述的语言种类很多,有的从PASCAL发展而来,也有一些从C语言发展而来。

有些HDL成为IEEE标准,但大部分是本企业标准。

HDL发展的技术源头是:

在HDL形成发展之前,已有了许多程序设计语言,如汇编、C、PASCAL、FORTRAN、PROLOG等。

这些语言运行在不同硬件平台、不同的操作环境中,它们适合于描述过程和算法,不适合作硬件描述。

在利用EDA工具进行电子设计时,逻辑图、分立电子元件作为整个越来越复杂的电子系统的设计已不适用。

任何一种EDA工具,都需要一种硬件描述语言作为EDA工具的工作语言。

早期的硬件描述语言,如ABEL-HDL、AHDL,是由不同的EDA厂商开发的,互相不兼容,而且不支持多层次设计,层次间翻译工作要由人工完成。

为了克服以上缺陷,1985年美国国防部正式推出了VHDL(VeryHighSpeedICHardwareDescriptionLanguage)语言,即超高速集成电路硬件描述语言;1987年IEEE采纳VHDL为硬件描述语言标准(IEEESTD-1076)。

VHDL是一种全方位的硬件描述语言,包括系统行为级、寄存器传输级和逻辑门级多个设计层次,支持结构、数据流、行为三种描述形式的混合描述,因此VHDL几乎覆盖了以往各种硬件描述语言的功能,整个自顶向下或自底向上的电路设计过程都可以用VHDL来完成。

另外,VHDL还具有以下优点:

VHDL的宽范围描述能力使它成为高层次设计的核心,将设计人员的工作重心提高到了系统功能的实现与调试,只需花较少的精力用于物理实现。

VHDL可以用简洁明确的代码描述来进行复杂控制逻辑的设计,灵活且方便,而且也便于设计结果的交流、保存和重用。

VHDL的设计不依赖于特定的器件,方便了工艺的转换。

VHDL是一个标准语言,为众多的EDA厂商支持,因此移植性好。

目前数字系统的设计可以直接面向用户需求,根据系统的行为和功能要求,自上而下地逐层完成相应的描述、综合、优化、仿真与验证,直到生成器件,实现电子设计自动化。

其中电子设计自动化EDA的关键技术之一就是可以用硬件描述语言来描述硬件电路。

VHDL是用来描述从抽象到具体级别硬件的工业标准语言,它是由美国国防部在20世纪80年代开发的HDL,现在已成为IEEE承认的标准硬件描述语言。

VHDL支持硬件的设计、验证、综合和测试,以及硬件设计数据的交换、维护、修改和硬件的实现,具有描述能力强、生命周期长、支持大规模设计的分解和已有设计的再利用等优点。

VHDL主要用于描述数字系统的结构、行为和功能,其程序结构特点是将一个电路模块或一个系统分成端口和内部功能算法实现两部分。

对于一个电路模块或者数字系统而言,定义了外部端口后,一旦内部功能算法完成后,其他系统可以直接依据外部端口调用该电路模块或数字系统,而不必知道其内部结构和算法。

VHDL的特点使得电子系统新的设计方法——“自顶向下”设计方法更加容易实现。

可以先对整个系统进行方案设计,按功能划分成若干单元模块,然后对每个单元模块进一步细分,直到简单实现的单元电路。

VHDL语言的设计方法是一种高层次的设计方法,也称为系统级的设计方法,其设计步骤如下:

第一步:

按照“自顶向下”的设计方法进行系统划分。

第二步:

输入VHDL语言代码,这是高层次设计中最为普遍的输入方式。

此外,还可以采用图形输入方式(框图,状态图等),这种输入方式具有直观、容易理解的优点。

第三步:

将以上的设计输入编译成标准的VHDL文件。

对于大型设计,还要进行代码级的功能仿真,主要是检验系统功能设计的正确性,因为对于大型设计,综合、适配要花费数小时,在综合前对源代码仿真,就可以大大减少设计重复的次数和时间,一般情况下,可略去这一仿真步骤。

第四步:

利用综合器对VHDL源代码进行综合优化处理,生成门级描述的网表文件,这是将高层次描述转化为硬件电路的关键步骤。

综合优化是针对ASIC芯片供应商的某一产品系列进行的,所以综合的过程要在相应的厂家综合库支持下才能完成。

综合后,可利用产生的网表文件进行适配前的时序仿真,仿真过程不涉及具体器件的硬件特性,较为粗略。

一般设计,这一仿真步骤也可略去。

第五步:

利用适配器将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作,包括底层器件配置、逻辑分割、逻辑优化和布局布线。

适配完成后,产生多项设计结果:

(1)适配报告,包括芯片内部资源利用情况,设计的布尔方程描述情况等;

(2)适配后的仿真模型;

(3)器件编程文件。

根据适配后的仿真模型,可以进行适配后的时序仿真,因为已经得到器件的实际硬件特性(如时延特性),所以仿真结果能比较精确地预期未来芯片的实际性能。

如果仿真结果达不到设计要求,就需要修改VHDL源代码或选择不同速度品质的器件,直至满足设计要求。

第六步:

将适配器产生的器件编程文件通过编程器或下载电缆载入到目标芯片FPGA或CPLD中。

如果是大批量产品开发,通过更换相应的厂家综合库,可以很容易转由ASIC形式实现。

2.2.2VHDL语言的特点

应用VHDL进行系统设计,有以下几方面的特点:

(1)功能强大VHDL具有功能强大的语言结构。

它可以用明确的代码描述复杂的控制逻辑设计。

并且具有多层次的设计描述功能,支持设计库和可重复使用的元件生成。

VHDL是一种设计、仿真和综合的标准硬件描述语言。

(2)可移植性VHDL语言是一个标准语言,其设计描述可以为不同的EDA工具支持。

它可以从一个仿真工具移植到另一个仿真工具,从一个综合工具移植到另一个综合工具,从一个工作平台移植到另一个工作平台。

此外,通过更换库再重新综合很容易移植为ASIC设计。

(3)独立性VHDL的硬件描述与具体的工艺技术和硬件结构无关。

设计者可以不懂硬件的结构,也不必管最终设计实现的目标器件是什么,而进行独立的设计。

程序设计的硬件目标器件有广阔的选择范围,可以是各系列的CPLD、FPGA及各种门阵列器件。

(4)可操作性由于VHDL具有类属描述语句和子程序调用等功能,对于已完成的设计,在不改变源程序的条件下,只需改变端口类属参量或函数,就能轻易地改变设计的规模和结构。

(5)灵活性VHDL最初是作为一种仿真标准格式出现的,有着丰富的仿真语句和库函数。

使其在任何大系统的设计中,随时可对设计进行仿真模拟。

所以,即使在远离门级的高层次(即使设计尚未完成时),设计者就能够对整个工程设计的结构和功能的可行性进行查验,并做出决策。

第3章CPLD/FPGA硬件平台

20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。

在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。

这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。

这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 求职职场 > 简历

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2