毕业设计论文基于FPGA的交通灯设计.docx

上传人:b****1 文档编号:14478907 上传时间:2023-06-23 格式:DOCX 页数:23 大小:668.17KB
下载 相关 举报
毕业设计论文基于FPGA的交通灯设计.docx_第1页
第1页 / 共23页
毕业设计论文基于FPGA的交通灯设计.docx_第2页
第2页 / 共23页
毕业设计论文基于FPGA的交通灯设计.docx_第3页
第3页 / 共23页
毕业设计论文基于FPGA的交通灯设计.docx_第4页
第4页 / 共23页
毕业设计论文基于FPGA的交通灯设计.docx_第5页
第5页 / 共23页
毕业设计论文基于FPGA的交通灯设计.docx_第6页
第6页 / 共23页
毕业设计论文基于FPGA的交通灯设计.docx_第7页
第7页 / 共23页
毕业设计论文基于FPGA的交通灯设计.docx_第8页
第8页 / 共23页
毕业设计论文基于FPGA的交通灯设计.docx_第9页
第9页 / 共23页
毕业设计论文基于FPGA的交通灯设计.docx_第10页
第10页 / 共23页
毕业设计论文基于FPGA的交通灯设计.docx_第11页
第11页 / 共23页
毕业设计论文基于FPGA的交通灯设计.docx_第12页
第12页 / 共23页
毕业设计论文基于FPGA的交通灯设计.docx_第13页
第13页 / 共23页
毕业设计论文基于FPGA的交通灯设计.docx_第14页
第14页 / 共23页
毕业设计论文基于FPGA的交通灯设计.docx_第15页
第15页 / 共23页
毕业设计论文基于FPGA的交通灯设计.docx_第16页
第16页 / 共23页
毕业设计论文基于FPGA的交通灯设计.docx_第17页
第17页 / 共23页
毕业设计论文基于FPGA的交通灯设计.docx_第18页
第18页 / 共23页
毕业设计论文基于FPGA的交通灯设计.docx_第19页
第19页 / 共23页
毕业设计论文基于FPGA的交通灯设计.docx_第20页
第20页 / 共23页
亲,该文档总共23页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

毕业设计论文基于FPGA的交通灯设计.docx

《毕业设计论文基于FPGA的交通灯设计.docx》由会员分享,可在线阅读,更多相关《毕业设计论文基于FPGA的交通灯设计.docx(23页珍藏版)》请在冰点文库上搜索。

毕业设计论文基于FPGA的交通灯设计.docx

毕业设计论文基于FPGA的交通灯设计

摘要

交通信号指示灯是城市中交通指挥疏导中不可缺少的智能工具。

以前用到的大多数交通灯的控制系统都是采用单片机或者PLC进行设计开发的。

本文将采用VHDL硬件描述语言来论述各模块代码,并在QuartusII开发环境下进行编译,在硬件板子上进行调试和演示。

在计算机上运行成功并生产生成顶层文件后下载在FPGA器件EP2C5T144开发板子上进行验证。

通过调试结果显示,本文的设计完全可以满足现在交通指挥的需求,包括时间提示显示、相关状态控制和主、支干道的红、黄、绿灯交替显示功能。

本文在交通灯控制的基础上,对硬件描述语言以及其设计流程做了简单介绍。

EDA工具是一种十分重要的VHDL语言开发工具,它是一款能够对设计过程中任何一个环节或者阶段进行计算机模拟的强大工具,因而,该工具能够确保准确的设计,减少设计周期,大大降低成本。

基于FPGA的交通灯控制器具有较高的可靠性、擦写迅速、高效的运算能力、故障少、设计简单、质量轻以及体积不大等优势。

本次设计将采用EP2C5T144最小系统开发板,因为它的体积不大,可以轻松嵌入到外围电路中,完全可以快速进行逻辑判断,数据计算以及系统运算等。

本文采用QuartusII软件进行开发,运用自顶向下的新型设计方法。

关键词:

自动、逻辑、交通灯、EDA、FPGA

Abstract

Trafficlightcontrollerplaysaveryimportantroleintheregulationofurbantraffic.Thetraditionaltrafficlightcontrollerisbasedonsingle-chipmicrocomputerorPLC.ThispaperintroducesaschemebasedonFPGAtechnologyandIIQuartusdevelopmentplatformtorealizetheintersectiontrafficlightcontroller.UsingVHDLhardwaredescriptionlanguagedescriptionofthemoduleprogram,andintheIIQuartusenvironmenttocompile,simulate,generatethetoplevelfilesdownloadedintheFPGAdeviceEPF10K10LC84FLEXforverification.Theverificationresultsshowthatthebasicdesigntoachievethecontroloftrafficlightcontroller,includingthecountdowntimedisplayfunctionandmainfunction,thespecialstatecontrol,aroadofred,yellow,andgreenlightdisplayfunctionalternately,indicatingthatthedesignschemeiscorrect.Inthispaper,thedesignprocessofthetrafficlightcontroller,andbrieflyintroducesthehardwaredescriptionbrightprospectinthedigitalsystemdesignofVHDLlanguagestructuremodelandprocessdesign,VHDLdesignadvantagesandthelanguageandanimportantposition.

EDAtoolsforelectronicdesignpersonnelisextremelyimportant,itcanineachstageofelectronicdesign,thelevelofcomputersimulationandverification,toensurethedesignaccuracyandcanshortenthedesigncycleandreducedesigncost.Canrealizetheautomaticcontroloftrafficlightscrossroadstrafficlights.BasedontheFPGAdesignoftrafficlightssystemhashighreliability,real-timeflash,highoperationspeed,thefailurerateislow,thecircuitissimple,andthevolumeissmall.ThisdesignusesAlteracycloneiiseriesep2c5t144chipasthecoreoftheminimumsystem,itcaneasilyembedintotheactualtrafficlightsapplicationsystem,canrealizethefunctionofthesimplelogiccontrol,dataacquisition,signalprocessingandmathematicalcomputing;usingQuartusIIsoftwareasadevelopmentplatform;usingtop-downdesign

Keywords:

automatic,logic,trafficlights,EDA,FPGA

 

前言

交通灯控制指挥系统,其本质就是规范和管理行人和车辆穿越路口的行为,从确保人和车辆能够安全、快速、合理和有效通过。

在现在,交通灯控制器安装在各个路口上,大大提高了路口行人和车辆的通行安全性和规范性,减少了交通事故的发生几率。

目前实现交通灯控制有很多方案,比如采用PLC[1](可编程序控制器)、标准逻辑器件、51单片机[2]等方案来进行开发。

若采用单片机平台进行开发设计,其大致电路框架包括基于单片机的主控电路、电源电路、显示电路和无线收发控制电路四个部分组成。

控制电路的芯片为51单片机或者STC单片机都可以。

同时需要一个3.3V或者5.5CV的DCDC,数码管显示电路和无线收发控制电路是由数据发射模块和编码芯片两部分组成。

电路设计相对复杂不说,而且还需要进行软件的设计。

虽然基于单片机的交通灯控制系统具有运行稳定,功能较多,灵活性比较好等优势,但是任然存在许多问题。

特别是电路的修改比较花费时间,设计比较繁琐,在一定程度下增加了设计成本和维护周期。

可编程控制器PLC的优势在于是可靠性较好和稳定性较高,但是其也有诸多问题。

PLC是一个封闭的结构,所以不同PLC控制器的兼容性较差,而且不同的PLC在程序设计上也存在着差异。

这就意味着,一旦选择一种PLC之后,就只能按照该型号PLC的相关方式进行编编程,而且需要学习相关的设计语言规律,这些问题大大的的限制了PLC的灵活性和多元性。

与前两者相比,基于FPGA的开发方案,不仅具有很好灵活性,稳定性,而且编程语言是完全统一性,而且更简单和稳定。

因而,此次交通灯的控制器开发设计采用基于VHDL语言,FPGA的方式进行设计,不仅经济节约和而且更加可靠稳定。

其开发设计也相对更加简单,故障维护也比较容易,更加适合目前城市交通指挥的需求。

1绪论

1.1论文研究背景及意义

现在城市发展迅速,然而城市的交通问题却越来越严重。

城市的交通道路交通压力越来越大,经常会有交通拥堵,甚至是交通事故发生,交通疏导不及时也是造成这些问题重要原因。

如今,全国几乎所有城市或多或少的都存在这交通拥堵,交通混乱,甚至造成严重的交通事故,这给人们的出行以及车辆行驶带来了极大的安全隐患,甚至造成生命和财产的重大损失。

那么如何能够快速指挥和疏导交通,规范和约束行人和车辆的行为,构建一个合理规范的交通秩序,是目前的当务之急。

经研究调查发现,城市中拥堵的主要因素是由当前城市交通指挥制度以及管理机制等问题不完善造成的,现今城市正准备打造一个智慧城市,其中的包含智慧交通,而智能交通正是城市道路上最重要的一块。

本设计采用了FPGA方案设计则正好大大满足了智慧交通的理念。

它将实现城市的每个路口运用交通控制系统从而控制整个城市的交通,包括系统的改进,道路的疏通,以及各路口的指挥。

尤其是在十字路口,它将把现代化技术融入其中,打造成一个智慧的交通路口。

为了确保路口行人与车辆能够合理、有序、安全和快速的通过相关区域,采用交通信号灯来进行指挥和疏导交通情况,将大大降低事故发生的几率以及确保交通通畅,人车有序通过。

随着生活水平的提高,人们对生活质量的要求不断增加,汽车的数量还在不断增加,研究和设计现代智能交通灯具有很好实际意义。

现今EDA的技术发展越来越快,人们利用EAD技术越来越方便于电路。

利用EDA技术和FPGA方法来实现交通灯的设计,非常的复合目前的需求,具有设计周期短,效果稳定,维护方便以及运用简单等诸多特点。

这种设计方案也是符合目前电子技术发展的方向的,是比较合理。

1.2FPGA开发的历史及简介

FPGA或CPLD芯片都是ASIC相关芯片系列中比较特殊的一种,其不仅具有ASIC芯片自身的特点之外,还具有如下的独特的特点:

随着VLSI(超大集成电路)工艺的不断提高,现能将几毫米见方的硅片上集成上万至百万晶体管,无数个晶体管排列在一起,这样做的话既能大大的缩小空间,降低成本,而且还能保持原有的功能。

FPGA/CPLD芯片的所含的规模也越来越大,其中,光是单片逻辑门数就已经达上百万门。

因此,它能够所实现的功能也越来越强,能在上面完成系统集成,即SOC(片上系统)。

况且,每个FPGA/CPLD芯片都有质量保证,因为每块芯片在出厂之前都做过测试,测试结果达标才能投入市场,因此,设计人员完全不需要承担任何风险,设计人员只需要完成自己的设计,通过软硬件完成设计的最终步骤。

所以,FPGA/CPLD的资金投入就少,减少了潜在的花费。

假如使用FPGA的设计方案,用户可以随意的擦数以及编程,需要实现什么样的功能完全由用户自己决定,这样用户就能完成在不懂任何电路的情况下实现交通灯的多种功能。

FPGA/CPLD芯片中还包含多种功能,比如输入工具,这样大大方便了用户将程序输入的步骤,还有仿真工具,以及版图设计工具等多种功能,多种功能的集合,大大的方便了设计人员,有了这些功能,设计人员就能够快速的完成电路及程序的输入以及调试。

Iverson于1962年的时候提出了硬件描述语言(VHDL),详细的讲解了语言的基本内容和基本结构,以及利用该语言在各种层次上对数字系统的建模方法,从此之后,各国出现了多种硬件描述语言,比如美国的DATAI/O公司的ABEL-HDL、Verilog公司开发的VerilogHDL以及日本电子工业振兴协会开发的UDL/I语言。

但是由于这些硬件描述语言的与其公司的硬件产品密切相关,本身就是为其公司设计而成,不能推广开来,而且语法杂乱,因此造成了信息交流不便以及设备维护的种种困难。

但自从1987年VHDL(超高速硬件描述语言)被定为IEEE标准(IEEE-STD-1076)以来,VHDL已被工业界广泛认可,并在工业界推广开来,越来越多的人使用VHDL语言,VHDL已成为数字系统设计和存档的重要工具,极大的提高了数字系统的设计水平和效率,在此方面大大的影响了工业界,给工业界带来了无法估计的价值。

VHDL的出现,不仅在工业界取得了重大作用,而且在大规模的数字电路系统领域发挥的很大的作用,比如在逻辑领域这块,相比于传统的方法,利用VHDL将更加便捷,因此,VHDL将成为EDA领域的重要组成部分。

VHDL主要用于描述数字系统的结构,行为,功能和接口。

除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。

VHDL的程序结构特点是将一项工程设计,分成外部(或称可视部分,及端口)和内部(或称不可视部分),这样分开之后,两者分开处理,既涉及了实体的内部功能,又能完成算法部分,大大的提高了处理水平。

当对一个设计实体定义了外部界面后,在处理外部界面时,一旦其内部开发完成后,内部的文件就能够被其他设计使用,其他的设计就可以直接调用这个实体。

这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。

1.3EP2C5T144最小系统介绍

本开发板采用的主芯片FPGA型号为Altera公司最新的CycloneIV系列中的EP2C5T144,等效门数大约为50万门(是CycloneEP1C3(10万门)的5倍)。

开发板的电源系统需要的电源大小是5V直流电源,通过板上的LDO电源系统出来,然后给FPGA系统的各个元件提供所需的各种电压,并且可以向外输出5V、3.3V两种电压。

电源系统出来后则是板上配的EPCS4配置芯片,JTAG和AS两种下载端口,方便下载程序,以及50MHz有源晶振,给系统提供时钟信号。

FPGA的所有引脚均是通过两个40Pin的2.54mm标准排针接口引出,引脚的大小符合国际标准,并与国际流行的DE2开发板上的扩展接口管脚兼容,因此假如有先有的扩展卡,都可以拿来使用。

系统内设有8个LED发光二极管,其作用是用来做I/O的输出显示。

除此之外,系统内还有4个按键开关、8位数字拨码开关组成的I/O输入,其作用是用来控制系统的功能。

PCB板是采用四层板设计,板子的性能以及稳定性相当可靠。

通过扩展接口可以将同样的多块FPGA板以层叠的形式集成在一起简单扩充系统的容量,相当于多块硬盘结合成一块大的硬盘,这样不仅扩大了容量,还能省去不少空间。

除此之外,PCB板形成了多Nios嵌入式并行处理器的小型实验系统,可以驳接SRAM、SDARM、七段LED、LCD、Video/Audio、AD/DA等设备和其他各种形式的用户外设接口板。

该开发板主要是为学习FPGA/HDL/嵌入式Nios等数字逻辑的学生和爱好者提供一种简单廉价的实验平台。

开发板要求的输入电压是5V。

通过U2:

LM1085-3.3的LDO芯片出来将会到3.3V的电压。

再通过AMS1117-1.2的LDO芯片,通过AMS1117-2.5的LDO芯片U4产生FPGACycloneIV芯片内部PLL所需要的独立电源。

其中有3.3V电压是给FPGA的IO提供的。

也就是说本设计采用了简化的FPGAIO引脚电平是+3.3V,而不提供给用户CycloneIV其它不同电平标准的I/O电平选择方式。

+1.2V电压是提供给FPGA内核的工作电压,+2.5V是FPGA内部PLL的专用工作电压。

1.2V电压的纯净程度要求比较高,故采用钽电容滤波。

1.4本文研究的主要内容

交通灯控制电路是的作用主要是用于城市的交通疏通,每当有大量车辆出入造成堵塞的时候,交通灯控制电路就能体现它的用处了。

交通信号灯在人与车辆交替行驶时,能实现红灯、黄灯、绿灯的自动指挥,更好的实现现代化交通管理。

交通灯指示告诉我们,绿灯亮时,准许车辆通行,但转弯的车辆不得妨碍被放行的直行车辆、行人通行;黄灯亮时,已越过停止线的车辆可以继续通行;红灯亮时,禁止车辆通行。

在每个城乡的十字路口,一般都在每条道路上各有一组红、黄、绿交通信号灯,这样的用意就是在于保证交通秩序和行人安全。

在未设置信号灯的路口,车辆和行人应当按照机动车信号灯的表示通行。

交通灯控制电路将会自动控制十字路口的交通灯的切换,安全的指挥各种车辆以及行人通过,真正的实现城乡交通的自能化管理。

一个十字路口的交通控制器,不光是交通灯的切换,更重要的是将十字路口的四个方向合理运转,方向有东南西北,东西方向的红绿灯状态一样,南北方向的红绿灯状态一样,每个方向上,有四盏灯,分别是左转灯、红灯、绿灯和黄灯。

左拐灯亮表示左转车辆可以通行;红灯亮表示左转和直行车辆禁行;绿灯亮表示直行车辆和右转的车辆可以通行;黄灯亮表示左转和直行的车辆即将禁行。

本论文则简要的介绍了FPGA芯片的特点以及设计意义,本系统是以QuartusII软件为开发平台,然后通过VHDL硬件描述语言来编写程序,以及设计原理图以输入方式来设计交通灯。

FPGA芯片是一款功能非常强大,可多次编程及擦除的逻辑芯片,本系统采用了FPGA设计ASIC电路,用户将不需要投片生产,基于FPGA交通灯控制器设计就能实现相应的功能。

FPGA的内部有着丰富的触发器和I/O引脚。

FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。

FPGA的开发,是ASIC电路中风险最小、开发费用最低、设计周期最短的器件之一。

FPGA采用高速的CHMOS工艺,功耗低,性能强,可直接与CMOS和TTL电平兼容。

因此用FPGA完成交通灯的设计不光要合理,更注重的是芯片的选用。

本论文通过设计交通安全指挥灯,从而来形象的指挥行人和车辆的安全通行。

在十字路口处,是发生危险的重点去,因此在十字路口的东西南北四个方向必须合理安排。

东西方向的交通信号灯状态保持一致,南北方向的交通信号灯状态也保持一致。

其中每个方向有左转灯、红灯、绿灯和黄灯,共四盏。

左转灯亮说明车辆可以左转通行;红灯亮说明禁止车辆直行和左转;绿灯亮说明允许车辆直行和右转;黄灯亮说明即将禁止车辆直行和左转。

在每个方向上均设有一个倒计时显示器,以显示禁止或允许通行的倒计时间。

 

2硬件设计

2.1硬件开发环境介

在本次毕业设计中,笔者的硬件开发环境是AD14,即AltiumDesigner14硬件开发环境。

AD14是AltiumDesigner是原Protel软件开发商Altium公司推出的一体化的电子产品开发系统,主要运行在Windows操作系统。

该软件全面集成了原理图设计、原件绘制、原件封装绘制、PCB板图绘制以及电气仿真等等功能,为开发者提供一个优秀的开发环境和灵活的运用平台,目前最高版本为AltiumDesigner15.0.7。

AltiumDesigner开发工具全面包括继承包括Protel99SE、ProtelDXP在内的以前相关版本的相关功能和优势外,继续添加了一些新的创新的功能,该平台拓宽了板级设计的传统界面,全面集成了FPGA设计功能和SOPC设计实现功能,从而允许工程设计人员能将系统设计中的FPGA与PCB设计及嵌入式设计集成在一起。

由于AltiumDesigner在继承先前Protel软件功能的基础上,综合了FPGA设计和嵌入式系统软件设计功能,AltiumDesigner对计算机的系统需求比先前的版本要高一些。

AltiumDesigner不仅拥有强大的PCB设计能力,而且还有强大的逻辑仿真分析能力,是一款特别适合初学者使用的PCB绘制软件。

该软件主要任务包括:

1..电气原理图开发回执;2.印刷电气属性电路板PCB设计;3.FPGA程序及其仿真的设计;4.嵌入式系统电路设计开发;5.3DPCB模型绘制及其演示。

2.2硬件开发环境平台搭建

上一节笔者介绍本次硬件设计的软件为AltiumDesigne14.0,

下面笔者简单介绍AD14如何建立工程项目工程。

步骤一:

启动AD软件,如下图2.2.1:

图2.2.1AD启动界面

步骤二:

在启动后的界面如下图2.2.2所示:

图2.2.2

步骤三:

如图2.2.3所示,在打开的界面中,打开菜单中选择File—>project—>PCBProject,即可创建一个工程项目。

图2.2.3

步骤四:

创建完成后,在窗口的左边会出现如下控制台,如图2.2.4所示:

 

图2.2.4

步骤五:

如图2.2.5所示,将鼠标移动至项目工程图标处,单机鼠标左键,在弹出下拉菜单中选择“addnewtoProject”选项,在弹出的菜单中,就可以添加原理图和PCB制作图板了,如图所示:

 

图2.2.5

在选择“schematic”,就是添加原理图制作文件,如图2.2.6所示为原理图制作窗口:

图2.2.6

自此就可以开始设计原理图了。

2.3系统分析

根据下面的系统框架图我们可以分析得知,论文中所涉及的十字路口交通灯只能疏导控制系统必须具有能够指挥前进和左转的功能,还有拥有行人指示灯的功能,并且是控制绿黄红灯按相关顺序进行循环亮和灭的。

而且要求绿灯亮转红灯亮或者转左转灯亮之前要先转黄灯亮5秒,左转灯亮转红灯亮之前也要先转黄灯亮5秒,红灯亮可以直接转绿灯或左转灯亮(四种灯的循环顺序如图2.1所示)。

还要求四种颜色灯的点亮时间能够通过倒数计时的方式进行显示。

可以用VHDL语言合理设计系统功能,使红黄绿左转灯的转换有一个准确的时间间隔和转换顺序。

图2.3指示灯转换顺序

采用基于FPGA逻辑编程的方法来进行该设计,具有编程简单、操作灵活、便于优化升级的特点。

同时,目前FPGA元器件的快速进步,编程设计和原理图电路设计等设计平台的快速发展和进步,FPGA的设计方式越来越受到关注和运用。

根据设计需求和该系统必须所具有功能,并参考相关的文献资料经现在的方案设计画出十字路智能口交通灯控制系统框图如下图所示,这也是本次设计的总体方案,框图如下图2.4所示:

图2.4系统的框图

2.4原理图设计

1.数码管的时间显示以及信号灯切换电路的设计原理如下图所示,如下图2.3所示为显示电路部分,

图2.3显示以及信号灯电路

如图所示,本文的交通灯的显示和指示灯电路,就是由图2.3相同的四个电路构成,分别指示四个路口的交通情况。

这里要求的开发板上必须要设定一个计数器,且计数值为0-60。

当计数值达到60后,下一个时钟沿回复到0,开始下一轮计数。

但是,如何系统检测发现了特殊状况(EMI=‘1’)的出现时,计数器将会迅速暂停工作,此时本系统的复位信号RESET将命令计数器强制清零。

这样每一个电路的控制端口都直接连接在开发板上,便于开发对整个系统的控制是完美的。

由于采用的FPGA芯片的时钟频率是50MHz,需要将其分频为1Hz。

也就是说当前模块的分频为50M,且将相关频率瞬间变换成1Hz的脉冲波信号,这样得到的相关脉冲波的周期将可以达到1S。

假如系统能够达到分频50M,这时在仿真图上是根本观察不了的,也就无法进行准确的验证和测试,所以在仿真的时候,最好是将分频系数调小一些,大约20分频就可以了,这时候就可以很清楚的从图中得到并分析对时钟输入信号的分频了,如图2.4所示,Reset是复位信号,CLK_50MHz是输入时钟频率,CLK_1Hz是输出时钟频率,从图中可以看出,输出频率CLK_1Hz确实是输入时钟频率CLK_50MHz的20分频。

交通灯信号计时显示部分,是按照相关输入的STATUS信号,产生相应的指示灯的信号,其直接可以控制交通灯的点亮或熄灭,在指示灯信号显示电路程序测试真结果中,不难发现,STATUS是一种输入信号,而它是必须按照状态控制模块产生的脉冲信号,即STATUS信号,一种六种状态,依次为000(S1)、001(S2)、010(S3)、011(S4)、100(S5)、101(S6);EW_LRGY(8位)是东西方向上交通信号灯的状态,SN_LRGY(8位)是南北方向上交通信

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 经管营销 > 经济市场

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2