标准实验报告一软件无线电实验平台基本通信实验.docx

上传人:b****5 文档编号:14681342 上传时间:2023-06-26 格式:DOCX 页数:13 大小:300.49KB
下载 相关 举报
标准实验报告一软件无线电实验平台基本通信实验.docx_第1页
第1页 / 共13页
标准实验报告一软件无线电实验平台基本通信实验.docx_第2页
第2页 / 共13页
标准实验报告一软件无线电实验平台基本通信实验.docx_第3页
第3页 / 共13页
标准实验报告一软件无线电实验平台基本通信实验.docx_第4页
第4页 / 共13页
标准实验报告一软件无线电实验平台基本通信实验.docx_第5页
第5页 / 共13页
标准实验报告一软件无线电实验平台基本通信实验.docx_第6页
第6页 / 共13页
标准实验报告一软件无线电实验平台基本通信实验.docx_第7页
第7页 / 共13页
标准实验报告一软件无线电实验平台基本通信实验.docx_第8页
第8页 / 共13页
标准实验报告一软件无线电实验平台基本通信实验.docx_第9页
第9页 / 共13页
标准实验报告一软件无线电实验平台基本通信实验.docx_第10页
第10页 / 共13页
标准实验报告一软件无线电实验平台基本通信实验.docx_第11页
第11页 / 共13页
标准实验报告一软件无线电实验平台基本通信实验.docx_第12页
第12页 / 共13页
标准实验报告一软件无线电实验平台基本通信实验.docx_第13页
第13页 / 共13页
亲,该文档总共13页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

标准实验报告一软件无线电实验平台基本通信实验.docx

《标准实验报告一软件无线电实验平台基本通信实验.docx》由会员分享,可在线阅读,更多相关《标准实验报告一软件无线电实验平台基本通信实验.docx(13页珍藏版)》请在冰点文库上搜索。

标准实验报告一软件无线电实验平台基本通信实验.docx

标准实验报告一软件无线电实验平台基本通信实验

电子科技大学

实验报告

学生姓名:

学号:

指导老师:

一、试验室名称:

通信信号处理及传输试验室

二、试验项目名称:

软件无线电试验平台基础通信试验

三、试验原理:

1.软件无线电试验平台组成及工作原理

高级软件无线电综合试验系统平台由6个模块组成,分别以下:

ARM模块、FPGA模块、MCU模块、DSP模块、BASEBANDAD\DA模块、RF模块。

各模块功效以下:

ARM(S3C2410)模块其上操作系统为WINCE5.0,提供用户交互界面。

应用程序与FPGA交互经过SPI接口驱动实现,应用程序与DSP(C6713)交互经过HPI接口驱动实现;

DSP(C6713)模块关键实现基带信号调制与解调。

其与ARM接口为HPI;与FPGA接口是MCBSP;

FPGA(XC3S400)关键实现外部模拟信号AD采样,以及数字与模拟转换DA控制,与RF模块联合实现CC2420功效。

其与DSP接口为MCBSP,与MCU(C8051F120)是经过SPI以及MCUP1、P3口实现交互;

MCU模块关键实现对RF发射功率,发射、接收信道等部分工作参数配置。

其与FPGA交互是经过SPI以及P1、P3口实现;

BASEBANDAD\DA模块关键实现数模转换功效;

RF模块关键实现将基带信号转化为射频信号,然后发射出去。

其与FPGA通信是经过RF自定义接口实现。

各模块间通信以及接口示意如图1所表示:

图1软件无线电试验平台模块结构

2.DSP与ARM通信原理

DSP与ARM经过HPI接口协同工作,实现通信。

ARM关键提供用户交互界面,用户能够在程序界面中输入传输数据,ARM将用户输入数据经过HPI口发送给DSP,而且通知DSP开始工作。

DSP在接收到ARM发送开始工作命令后,从固定地址获取ARM传送数据长度以及数据存放地址,然后到对应地址读取数据,将读取到数据进行搬移,搬移完成后发送HINT中止给ARM通知DSP端数据操作已经完成。

ARM接收到DSP发送HINT中止后从DSP对应位置读取DSP搬移后数据,重新在应用程序界面中显示。

工作原理简易框图如图2所表示:

图2DSP和ARM工作原理框图

HPI接口介绍

HPI口是并行接口,HOST能够经过HPI口直接访问DSP存放空间。

HOST作为HPI接口主控,访问非常便捷。

HOST与DSP能够经过内部或者外部存放器交换信息。

而且HOST端能够直接访问内存映射外设。

HPI与DSPCPU连接是经过DMA或者EDMA实现。

HOST以及DSP都能够访问HPI控制寄存器HPIC。

经过外部数据以及接口控制信号HOST端能够访问HPI地址寄存器HPIA,HPI数据寄存器HPID。

C64XX系列DSP端CPU也能够访问HPIA。

TMS320C6713HPI硬件接口如图3所表示:

图3DSPHPI接口示意图

其时序图如图4:

图4HPI时序图

DSP程序示例

DSP与ARM通信完成以下内容:

1.在数据输入界面中输入数据按ENTER后,ARM将用户输入数据长度一经过HPI口写在DSPSDRAM0X8地址中;把用户输入数据所存放地址放在0x10000地址单元中;把数据写在0X4(存放在0X1000)开始位置。

置位FLAG=1通知DSP用户已经输入数据。

2.DSP在检测到0x0存放数据为1后,首先从0X8获取用户输入数据长度;然后从0X1000取得用户输入数据存放在何位置。

在得到位置与长度信息后,DSP程序将数据拷贝到0x80000000为开始位置。

完成数据搬移后,DSP发送HINT中止,通知ARM,数据处理已经完成。

如上功效DSP示例程序以下:

while

(1)

{

flag=*((int*)(0x0));

if(flag==1)

{

temp=(*((int*)0x4));

pData=(int*)temp;

iCountData=*((int*)0x8);

pMovedData=(int*)0x80000000;

(*pMovedData++)=iCountData;

for(i=1;i<=iCountData;i++)

{

(*pMovedData++)=(*pData++);

}

HPI_setHint

(1);

}

}

3.FPGA控制AD、DA试验

本试验依据数模转换器芯片AD9201,AD9761工作时序,首先对输入模拟信号用进行采样,然后将采样值直接经过DA发送出去。

AD9201介绍

AD9201最高采样率为20MSPS,分辨率为10bit,是双通道CMOS电平模数转换器。

在需要2路ADC匹配(比如通信中I、Q两路信号)应用中,AD9201性能优越。

20MHz采样率、输入带宽大,使AD9201能应用在扩频与窄带信道。

AD9201时序图

图5AD9201时序图

注:

SELECT为AD9201内部异步二选一管脚。

在CLOCK上升沿,对输入两路信号进行同时采样,然后经过SELECT选择输出数据通路。

AD9761介绍

AD9761是采样率20M、10bit高速双通道数模转换器。

宽带通信中常常需要处理同相和正交(I、Q)两路数据,AD9761双通道可提供两路信号输出。

AD9761时序图

图6AD9761时序图

注:

SELECT异步选择输入,当为高时将DA数据锁存到I路,当为低时锁存到Q路。

FPGA控制AD、DA程序示例

因为外部晶振频率为48MHz,而AD采样率最高20MHz,DA速率为20MHz,所以程序中首先利用DCM对晶振输出频率进行3分频,DCM输出频率作为AD9201以及AD9761时钟。

关键程序代码段以下:

AD控制部分代码

signaliq_sel_int:

std_logic;

signaltemp_q:

std_logic_vector(9downto0);

begin

adc_cs<='0';

adc_clk<=iq_sel_int;

adc_select<=notiq_sel_int;

process(rst,ext_adclk)

begin

ifrst='0'then

temp_q<=(others=>'0');

adc_i<=(others=>'0');

adc_q<=(others=>'0');

iq_sel_int<='0';

elsifext_adclk'eventandext_adclk='1'then

iq_sel_int<=notiq_sel_int;

ifiq_sel_int='0'then

temp_q<=adc_din;

else

adc_i<=adc_din;

adc_q<=temp_q;

endif;

endif;

endprocess;

DA控制代码

signalda_select_int:

std_logic;

begin

da_rst<=notrst;

da_clk<=notext_daclk;

da_write<=notext_daclk;

da_select<=da_select_int;

process(ext_daclk)

variablecnt:

integerrange0to1;

begin

ifrising_edge(ext_daclk)then

ifrst='0'then

da_select_int<='0';

else

da_select_int<=notda_select_int;

ifda_select_int='0'then

da_out<=id;

else

da_out<=qd;

endif;

endif;

endif;

endprocess;

四、试验目:

1.了解软件无线电试验平台基础模块:

ARM模块、FPGA模块、DSP模块、BASEBANDAD\DA模块及RF模块功效及其工作原理;

2.熟悉CCS、ISE软件平台;能够熟练地对DSP及FPGA程序进行调试;

3.掌握TMS320C6713HPI接口;经过发送HPI中止给ARM,熟悉ARM与DSP通信方法;

4.掌握AD9201,AD9761工作原理以及工作时序图;编写FPGA控制AD、DAVHDL程序;

五、试验内容:

(1)DSP与ARM通信试验;

(2)FPGA控制AD、DA试验。

六、试验器材(设备、元器件):

计算机、软件无线电试验箱、信号发生器、示波器、DSP仿真器、FPGA仿真器、+5V电源

七、试验步骤及试验数据结果分析:

1.DSP与ARM通信实现

(1)DSP与ARM通信演示试验

A.运行ARM端程序RADIO.EXE,选择扩展试验DSP和ARM通信试验,按下“确定”进入该试验界面;

B.连接好DSP仿真器;

C.打开CCS,打开工程文件ARM_DSP_hpi.pjt。

D.选择Project->RebuildAll,编译完成后选择File->LoadProgram找到ARM_DSP_hpi.out选择打开,经过JTAG下载DSP程序;

E.运行DSP程序Debug->Run;

F.在界面数据输入框内输入0-20个数据,按Enter,此时在ARM端接收界面会显示经过DSP处理数据;

输入数据:

3

显示数据:

3

注:

DSP端程序在输出BUFFER数据格式必需为第一个INT为数据长度,而且数据长度不能大于40(不然数据在ARM端不能全部显示)。

(2)DSP与ARM通信试验实现

A.打开主程序文件main.c;

B.修改原程序中(*pMovedData++)=(*pData++);为(*pMovedData++)=(*pData++)+1;

C.在CCS中编译运行程序,重新在数据输入界面输入数据按ENTER;

D.在ARM端应用程序界面右边数据显示栏观察结果,统计试验现象(左边数据加1):

输入数据:

显示数据:

55A94732589A4256789A

(3)DSP与ARM通信扩展试验

经过修改SETHINT在程序中位置来修改DSP端何时发送HPI中止给HOST端。

内容:

实现10000个循环延时后发送中止。

修改代码以下:

for(i=1;i<=10000;i++)

{

for(j=1;j<=10000;j++);

}

HPI_setHint

(1);

修改完成后在CCS中编译运行程序,在ARM应用程序界面中重新输入数据按ENTER。

观察:

ARM应用程序右边数据接收窗口,统计观察结果(数据更新速率应该降低):

输入数据:

7788669

显示数据:

889977A

2.FPGA控制AD、DA试验

(1)FPGA控制AD、DA过程演示试验

A.编译AD_DA_LOOP工程,编译完后将bit文件下载到FPGA中;

B.连接信号发生器到平台RXI,对信号发生器进行设置产生500KHZ正弦信号,然后发送;

C.在ARM端应用程序界面进入->扩展试验->FPGA扩展试验->FPGA控制AD/DA试验,按ENTER然后用示波器观察平台TXI、TXQ发出波形。

观察到数据波形以下:

平台TXI发出波形

平台TXQ发出波形

(2)改变DA控制程序,使DAI路输出单频正弦波。

将da_out赋值交换一个位置即可实现I路输出正弦波,Q路无信号。

即代码改为:

ifda_select_int='0'then

da_out<=qd;

else

da_out<=id;

八、试验结论:

软件无线电技术基础思想是将宽带A/D转换器尽可能地靠近射频天线,即尽可能早地将接收到模拟信号转化为数字信号,在最大程度上经过DSP/FPGA软件来实现通信系统多种功效。

软件无线电试验平台正是以ARM交互界面为中心,利用FPGA、DSP模块来实现多种通信功效。

九、思索题

简述DSPMcBSP工作原理。

答:

McBSP是TI企业生产数字信号处理芯片多通道缓冲串行口。

McBSP是在标准串行接口基础之上对功效进行扩展,所以,含有与标准串行接口相同基础功效。

它能够和其她DSP器件、编码器等其她串口器件通信。

  McBSP除了含有一般串口特点外,还含有以下特殊功效:

  

(1)能够与IOM-2、SPI、AC97等兼容设备直接连接;

  

(2)支持多通道发送和接收,每个串行口最多支持128通道;

  (3)串行字长度可选,包含8、12、16、20、24和32位;

  (4)支持μ-Law和A-Law数据压缩扩展;

  (5)进行8位数据传输时,能够选择LSB或MSB为起始位;

  (6)帧同时脉冲和时钟信号极性可编程;

  (7)内部时钟和帧同时脉冲产生可编程,含有相当大灵活性。

McBSP内部包含数据通路和控制通路两部分,并经过7个引脚与外部器件相连。

分别是:

接收时钟CLKR;发送时钟CLKX;串行数据接收DR;串行数据发送DX;接收帧同时FSR;发送帧同时FSX。

McBSP与外设进行数据传输是经过(DX)脚来发送,(RX)脚来接收,通信时钟与帧信号是由CLKX,CLKR,FSX,andFSR脚来控制。

DSPCPU或DMA从数据接收寄存器(DRR[1,2])读取接收数据,发送时向数据发送寄存器(DXR[1,2])写数据。

数据写入(DXR[1,2])后经过传输移位寄存器(XSR[1,2])移位输出到DX上,一样,从DR上接收数据移位存放到接收移位寄存器(RSR[1,2])并拷贝到接收缓存寄存器(RBR[1,2]),然后,再由(RBR[1,2])拷贝到DRR[1,2],DRR[1,2]就能够由CPU或DMA来读出。

多级寄存器许可在通信时内部和外部数据同时传输。

DSP对McBSP控制由16位控制寄存器实现。

  

十、总结及心得体会:

经过此次试验熟悉了软件无线电试验平台基础结构,熟悉了各模块间工作方法。

同时也熟悉了基于CCS软件平台DSP设计步骤以及基于ISE平台FPGA设计步骤。

十一、对本试验过程及方法、手段改善提议:

汇报评分:

指导老师签字:

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 工程科技 > 电力水利

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2