数字电子技术基础试题及答案1.docx

上传人:b****1 文档编号:14793674 上传时间:2023-06-27 格式:DOCX 页数:29 大小:666.78KB
下载 相关 举报
数字电子技术基础试题及答案1.docx_第1页
第1页 / 共29页
数字电子技术基础试题及答案1.docx_第2页
第2页 / 共29页
数字电子技术基础试题及答案1.docx_第3页
第3页 / 共29页
数字电子技术基础试题及答案1.docx_第4页
第4页 / 共29页
数字电子技术基础试题及答案1.docx_第5页
第5页 / 共29页
数字电子技术基础试题及答案1.docx_第6页
第6页 / 共29页
数字电子技术基础试题及答案1.docx_第7页
第7页 / 共29页
数字电子技术基础试题及答案1.docx_第8页
第8页 / 共29页
数字电子技术基础试题及答案1.docx_第9页
第9页 / 共29页
数字电子技术基础试题及答案1.docx_第10页
第10页 / 共29页
数字电子技术基础试题及答案1.docx_第11页
第11页 / 共29页
数字电子技术基础试题及答案1.docx_第12页
第12页 / 共29页
数字电子技术基础试题及答案1.docx_第13页
第13页 / 共29页
数字电子技术基础试题及答案1.docx_第14页
第14页 / 共29页
数字电子技术基础试题及答案1.docx_第15页
第15页 / 共29页
数字电子技术基础试题及答案1.docx_第16页
第16页 / 共29页
数字电子技术基础试题及答案1.docx_第17页
第17页 / 共29页
数字电子技术基础试题及答案1.docx_第18页
第18页 / 共29页
数字电子技术基础试题及答案1.docx_第19页
第19页 / 共29页
数字电子技术基础试题及答案1.docx_第20页
第20页 / 共29页
亲,该文档总共29页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

数字电子技术基础试题及答案1.docx

《数字电子技术基础试题及答案1.docx》由会员分享,可在线阅读,更多相关《数字电子技术基础试题及答案1.docx(29页珍藏版)》请在冰点文库上搜索。

数字电子技术基础试题及答案1.docx

数字电子技术基础试题及答案1

数字电子技术基础期末考试试卷

一、填空题

1.时序逻辑电路一般由和两分组成。

2.十进制数(56)10转换为二进制数为和十六进制数为

3.串行进位加法器的缺点是,想速度高时应采用加法器<

4.多谐振荡器是一种波形电路,它没有稳态,只有两个

5.用6个D触发器设计一个计数器,则该计数器的最大模值M

二、化简、证明、分析综合题:

1.写出函数F(A,B,C,D)=ABCDE的反函数

2.证明逻辑函数式相等:

BCDD(BC)(ADB)BD

3.已知逻辑函数F=E(3,5,8,9,10,12)+Ed(0,1,2)

(1)化简该函数为最简与或式:

(2)画出用两级与非门实现的最简与或式电路图:

4.

555定时器构成的多谐振动器图1所示,已知R1=1KQ,R2=8.2KQ,C=0.1卩F试求脉冲宽度T,振荡频率f和占空比q。

6•触发器电路就输入信号的波形如图3所示,试分别写出D触发器的Q和Q1的表达式,并画出其波形。

 

Qn+1=

7.已知电路如图4所示,试写出:

1驱动方程;

2状态方程;

3输出方程;

4状态表;

5电路功能。

二、设计题:

(每10分,共20分)

1•设计一个三变量偶检验逻辑电路。

当三变量ABC输入组合中的“T的

个数为偶数时F=1,否则F=0。

选用8选1数选器或门电路实现该逻辑电路。

要求:

(1)列出该电路F(A,B,C)的真值表和表达式;

(2)画出逻辑电路图

2.试用74161、3-8译码器和少量门电路,实现图5所示波形V01VO2其中CP为输入波形。

要求:

(1)列出计数器状态与V01、V02的真值表;

(2)画出逻辑电路图。

1,234557851011

畀nrurLTLn^n-ru^urLTLn

miiI

»I

图5

74161十六进制计数器功能表

输入

输出

CP

CRLDPT

DCBA

QdQcQbQa

X

0XXX

XXXX

0000

10XX

dcba

dcba

1111

XXXX

计数

X

110X

XXXX

保持

X

11X0

XXXX

数字电路期末考试试卷评分标准

一、填空题:

(每题2分,共10分)

1.存储电路,组合电路。

2.111000,38

3.速度慢,超前进位

4.产生,暂稳态

5.32

二、化简、证明、分析综合题:

(每小题10分,共70分)

1.解:

FABCDE

3分

A?

(BCDE)

4分

AbAcAgDgE

3分

2.证明:

左边

BC

D(BC)(ADB)

3分

BC

DBADCADBC

3分

(BC

BC)D(1BACA)

2分

BD

右边

原式成立

3•解:

(1)化简该函数为最简与或式:

 

由卡诺图可得:

 

(2)画出用两级与非门实现的最简与或式电路图:

F

AgBAgCgpAgCgP

BgC

BgP

F

AgBAgCgpAgCgp

BgC

BgP

1分

F

AgB?

AgCgp?

AgCgP?

BgC?

BgP

1分

则可得电路图如下:

2

F

4.解:

36

Ti=0.7(RiR2)•C=0.7(1+8.2)100.110-=0.644ms---2分

36

T=0.7(R12R2)•C=0.7(1+28.2)100.110-=1.218ms---3分

 

q=T1

T

R1

R2

0.64452%

2

R1

2R2

1.218

5.解:

YiS1S2gS3gmiqm为A2,A,Ao的最小项)2分

图中sa7,S2A6A5,S3a^a31分

当s1,S2S30时:

Yimii0~72分

当a,,a1,Aj001和110时Y1和Ye分别被选中-----1分

既:

a71;a1,a1,A40,a0时,

且A2,A1,A0001和110时Y1和丫6分别被选中;2

当"AsA5A4A3A2A1A011100001和11100110时Y1和Y6分别被选中——2

6.解:

D=A1分

Qn+1=D=A2分

Q1QOEQOE2分

设触发器初始状态为0态,波形如图3所示。

cpJLTLTLFU

Rd讪1''h

iJ

d

I

I

i

7.解:

①驱动方程:

J0K01

J1K1XQo(2分)

n1nnnnnn

QiJ1Q1K1Q1(QoX)Q(QoX)Qi(2分)

3输出方程:

YQ;Q0(1分)

4状态表:

(3分)

0

Y

0

j

0

1

0

0

1

1

1

0

0

1

0

1

0

0

0

1

1

D

Q

1

0

0

1

1

0

1

0

1

0

1

0

1

1

0

0

0

1

1

1

1

1

0

:

⑤从状态表可得:

为受X控制的可逆4进制值计数器。

(2分)

三、设计题:

(每10分,共20分)

1.解:

(1)依题意得真值表如下:

3

NO

ABC

F

0

000

1

1

001

0

2

010

0

3

011

1

4

100

0

5

101

1

6

110

1

7

111

0

(2)由真值表可得:

Fmom3m)5m)6

FAgBgCAgBgcAgBgCAgBgC

(3)

选用8选1数选器实现该逻辑电路如下:

4分

-ST

2.解:

(1)从波形图上可得:

该电路有5个状态,且电路为上升沿触发,电路为穆尔型时序电路。

任取74161累加计数中的5个状态,这里取0000至0100共5个状态,任取一种映射得计数器状态与V01、V02的真值表如下:

NO

Q3Q2QQ

VO1

VO2

0

0000

0

1

1

0001

1

0

2

0010

0

1

3

0011

0

0

4

0100

0

0

3分

(2)从真值表得:

用138实现该函数,当使能端失效时:

V01m

V02m0

mijY1

Y0?

Y2

1分

m2

m0?

m2

保持权位一致性:

得Q

3S2,Q0,S1

1Q

A2,Q1

A1,Q0A0

1分

4分

其中74161构成5进制加法计数器,得逻辑电路图如下:

vcc

、填空题:

(每小题2分,共10分)

1.二进制数(1011.10012转换为八进制数为,转换为十六进

为。

2.数字电路按照是否具有记忆功能通常可分为两

类:

、。

3.已知逻辑函数F=A©B,它的与非-与非表达式为,

或与非表达式为。

4.5个变量可构成个最小项,变量的每一种取值可使

个最小项的值为1。

5.555定时器构成的施密特触发器,若电源电压Vcc=12V,电压控制端经0.01F电容接地,则上触发电平Ut+=V,下触发电平Ut=

V。

二、化简题:

(每小题10分,共20分)

1.用代数法将下面的函数化为最简与或式:

F=C-[ABDBCABD+(B+C)D]

2.用卡诺图法将下列函数化简为最简与或式:

F(A、BCD)=Em(0,2,4,5,7,13)+Ed(8,9,10,11,14,15)

三、分析题:

(每小题10分,共40分)

1.试分析题1图所示逻辑电路,写出逻辑表达式和真值表,表达式化简后再画出新的逻辑图。

题1图

2.74161组成的电路如题2图所示,分析电路,并回答以下问题:

(1)画出电路的状态转换图(C3C2QQD);

(2)说出电路的功能。

(74161的功能见表)

 

题2图

3•分析如题3图所示由边沿JK触发器组成的时序逻辑电路,写出电路的驱动方程、状态方程,画出状态转换图。

题3图

 

4.图4是用555定时器构成的压控振荡器,试分析输入控制电压和振荡频率之间的关系。

当Vi升高时输出的频率是升高还是降低?

四、设计题:

(每小题10分,共30分)

2.设计一个同步时序电路,只有在连续两个或者两个以上时钟作用期间两个输入信号X1和X2一致时,输出才为1,其余情况输出为0。

3•试用D功能触发器,完成题5图所示的状态转换的同步时序逻辑电路(不画逻辑图)。

要求:

(1)列出次态卡诺图;

(2)写出状态方程;(3)写出驱动方

程。

000—001-^010T011-^100—101

tI

题5图

数电期末试卷评分标准

一、填空题:

(每小题2分,共10分)

1.13.41,B9

2•组合逻辑电路、时序逻辑电路

3.ABAB,(AB)(AB)

4.32,1

5.8,4

二、化简题:

(每小题10分,共20分)

1.用代数法将下面的函数化为最简与或式:

F=C・[AbDBCABD+(B+C)D]

解:

f=c-[ABDBCABD+(B+C)D]

C?

(BDBCBDCD)

(1分)

C?

(BDgBCBDCD)

(2分)

c?

(bdbcCD)

(2分)

c?

(bdcdBC)

(1分)

C?

(BcD)

(2分)

bccd

(2分)

2.用卡诺图法将下列函数化简为最简与或式:

F(A、B、C、D)=Em(0,2,4,5,7,13

 

三、分析题:

(每小题10分,共40分)

1.解:

逻辑表达式(5分)

YABgABCBCC2分

AB[ABC(BC)]1分

ABC2分

真值表:

(3分)

A

B

C

Y

0

0

0

1

0

0

1

1

0

1

0

1

0

1

1

1

1

0

0

1

1

0

1

1

1

1

0

1

1

1

1

0

逻辑图:

(2分)

1

0

0

1

1

1

1

0

Y

0

1

X

X

X

X

X

X

2.解:

(1)状态转换表:

----(3分)

Qn3

Qn2

Qni

Qn0

Qn+13

Qn+12

Qn+1i

Qn+10

0

0

0

0

0

0

0

1

0

0

0

1

0

0

1

0

0

0

1

0

0

0

1

1

0

0

1

1

0

1

0

0

0

1

0

0

0

1

0

1

0

1

0

1

0

1

1

0

0

1

1

0

0

1

1

1

0

1

1

1

1

0

0

0

i

0

0

0

1

0

0

1

i

0

0

1

1

0

1

0

i

0

1

0

1

0

1

1

i

0

1

1

0

0

0

0

状态转换图:

(3分)

^^C3C2QiC0^^1

(2)功能:

(4分)

该电路的功能为:

11进制计数器。

从0000开始计数,当QQQQ为1011时,通过与

非门异步清零,完成一个计数周期。

3.解:

驱动方程:

Ji=Ki=1——(1分)

j2=Kz=Qi(1分)

状态方程:

Qin1JiQinK>QinQin——(2分)

Q2n1j2Q2nKQ2nQ1ngC2nQinQ2nQineQ2n------(2分)

状态转换图(4分)

QQ

四、设计题:

(每小题各10分,共30分)

 

(2)画出状态转移表:

2分

—n

亠n1

X1

X2

Q

Q

Z

0

0

0

1

0

0

0

1

1

1

0

1

0

0

0

0

1

1

0

0

1

0

0

0

0

1

0

1

0

0

1

1

0

1

0

1

1

1

1

1

3.解:

(1)次态卡诺图

分(每个2分)

(3)画出卡诺图:

(4)写出状态方程和输出方程:

Z(X1QX2)Qn

(5)画出电路图:

(2)状态方程与驱动方程:

---4分(圈卡诺图对1分,每个1

分)

Q2n1

D2

Q2nQon

Q1nQon

Qn1

D1

Q20nQ

onQnQon

Qon1

DO

Qon

综合题

1.对下列Z函数要求:

(1)列出真值表;

(2)用卡诺图化简;(3)画出化简后的逻辑图。

(8分)

一Z=ABA?

B?

CA?

B?

C

.BC=0

(1)真值表(2分)⑵卡诺图化简(2分)

(3)表达式(2分)逻辑图(2分)

2.试用3线一8线译码器74LS138和门电路实现下列函数。

(8分)

Z(A、B、C)=AB+Ac

A2

Ai

Ao

74LS138

STa

STb

STc

3.74LS161是同步4位二进制加法计数器,其逻辑功能表如下,试分析下列电路是几进

制计数器,并画出其状态图。

(8分)

74LS161逻辑功能表

CR

LD

CTp

CTt

CP

Q3Q2QiQo

0

X

X

X

X

0000

i

0

X

X

D3D2DiDo

i

I

0

X

X

Q3Q2QiQo

i

I

X

0

X

Q3Q2QiQo

i

i

i

i

加法计数

 

4.触发器电路如下图所示,试根据CP及输入波形画出输出端Qi、Q2的波形。

设各触发

”(6分)。

Qi

综合题标准答案

 

卡诺图化简(2分)

1解:

(1)真值表(2分)

ABC

Z

000

0

001

1

010

1

011

X

100

1

101

1

110

0

111

X

ABC00011110

0

b

1

(3)表达式(2分,

Z=「ABABC=A®B+C

BC=02.解:

Z(AB、C)=A0AC=ABC+C)+Ac(B^B)

=ABCABC+aboabc

=m1+m3+m6+m7

=m1?

m3?

m6?

m7

(4分)

4分)

A

B

C

1

3.解:

CP

 

 

1.当74LS161从0000开始顺序计数到1010时,与非门输出“0”,清零信号到来,异步清零。

(2分)

24.(本题满分16分)今有A、B、CE人可以进入某秘密档案室,但条件是AB、C三人在场或有两人在场,但其中一人必须是A,否则报警系统就发出警报信号。

试:

(1)列出真值表;

(2)写出逻辑表达式并化简;(3)画出逻辑图。

解:

设变量A、B、C表示三个人,逻辑1表示某人在场,0表示不在场。

F表示警报信号,F=1表示报警,F=0表示不报警。

根据题意义,列出真值表

A

B

C

F

0

0

0

0

0

0

1

1

0

1

0

1

0

1

1

1

1

0

0

1

1

0

1

0

1

1

0

0

1

1

1

0

:

由出真值表写出逻辑函数表达式,并化简

I

;FABCABCABCABCAcC(AB)

I

画出逻辑电路图

23.(本题满分10分)分析图示逻辑电路,求出F的逻辑函数表达式,化简后用最少的与非门实现之,并画出逻辑电路图。

B一

—"&

解:

F[A(BC)(BC)][AC(BC)(BC)]

A(BC)(BC)AC(BC)(BC)

A(BCBC)AC(BCBC)

ABCABCABC

ABCABCABC

21.(本题满分6分)用卡诺图化简下列逻辑函数

F(A,B,C,D)m(0,1,2,8,9,10,12,13,14,15)

解:

画出逻辑函数F的卡诺图。

得到

 

22.(本题满分8分)电路如图所示,D触发器是正边沿触发器,图中给出了时钟CP及输入K的波形。

(1)试写出电路次态输出Qn1逻辑表达式。

(2)画出Q,Q的波形。

解:

Qn1DKQn

阶段测试一

一、写出图1中各逻辑图的逻辑函数式,并化简为最简与或式。

(b)

(a)

ABCBC

(b)

ABCBC

ACABBCABCABC

 

 

二、用卡诺图化简法将函数丫ABCDACDEBDEACDE化为最简与或形式。

0,其余位置填1。

用卡诺图化简。

填写卡诺图时在大反号下各乘积项对应的位置上填卡诺图中以双线为轴左右对称位置上的最小项也是相邻的。

化简后得

YAECEBEDE

\CDB

oo

01

n

io

0

0

fir

-

(T

ill.

•川

ir

Jj!

0

ol

Jj

L

11

1

i:

1

0

0

0

0

LL

IL

ooioiiowuain101100

 

 

三、试画出用或非门反相器实现函数丫ABCBC的逻辑图。

YABCBC(ABC)(BC)ABBCACBC

ACBCBCACBCBC

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 经管营销 > 经济市场

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2