VGA信号的定时设计使用数据.docx

上传人:b****6 文档编号:15588739 上传时间:2023-07-05 格式:DOCX 页数:371 大小:29.76KB
下载 相关 举报
VGA信号的定时设计使用数据.docx_第1页
第1页 / 共371页
VGA信号的定时设计使用数据.docx_第2页
第2页 / 共371页
VGA信号的定时设计使用数据.docx_第3页
第3页 / 共371页
VGA信号的定时设计使用数据.docx_第4页
第4页 / 共371页
VGA信号的定时设计使用数据.docx_第5页
第5页 / 共371页
VGA信号的定时设计使用数据.docx_第6页
第6页 / 共371页
VGA信号的定时设计使用数据.docx_第7页
第7页 / 共371页
VGA信号的定时设计使用数据.docx_第8页
第8页 / 共371页
VGA信号的定时设计使用数据.docx_第9页
第9页 / 共371页
VGA信号的定时设计使用数据.docx_第10页
第10页 / 共371页
VGA信号的定时设计使用数据.docx_第11页
第11页 / 共371页
VGA信号的定时设计使用数据.docx_第12页
第12页 / 共371页
VGA信号的定时设计使用数据.docx_第13页
第13页 / 共371页
VGA信号的定时设计使用数据.docx_第14页
第14页 / 共371页
VGA信号的定时设计使用数据.docx_第15页
第15页 / 共371页
VGA信号的定时设计使用数据.docx_第16页
第16页 / 共371页
VGA信号的定时设计使用数据.docx_第17页
第17页 / 共371页
VGA信号的定时设计使用数据.docx_第18页
第18页 / 共371页
VGA信号的定时设计使用数据.docx_第19页
第19页 / 共371页
VGA信号的定时设计使用数据.docx_第20页
第20页 / 共371页
亲,该文档总共371页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

VGA信号的定时设计使用数据.docx

《VGA信号的定时设计使用数据.docx》由会员分享,可在线阅读,更多相关《VGA信号的定时设计使用数据.docx(371页珍藏版)》请在冰点文库上搜索。

VGA信号的定时设计使用数据.docx

VGA信号的定时设计使用数据

VGA信号的定时

 640 x 350

 VGA 640x350@70 Hz (pixel clock 25.175 MHz)

  General timing

Screen refresh rate

70 Hz

Vertical refresh

31.46875 kHz

Pixel freq.

25.175 MHz

  Horizontal timing (line) Polarity of horizontal sync pulse is positive. 

Scanline part

Pixels

Time [µs]

Visible area

640

25.422045680238

Front porch

16

0.63555114200596

Sync pulse

96

3.8133068520357

Back porch

48

1.9066534260179

Whole line

800

31.777557100298

  Vertical timing (frame) Polarity of vertical sync pulse is negative. 

Frame part

Lines

Time [ms]

Visible area

350

11.122144985104

Front porch

37

1.175********1

Sync pulse

2

0.063555114200596

Back porch

60

1.9066534260179

Whole frame

449

14.268123138034

 VESA 640x350@85 Hz (pixel clock 31.5 MHz)

  General timing

Screen refresh rate

85 Hz

Vertical refresh

37.860576923077 kHz

Pixel freq.

31.5 MHz

  Horizontal timing (line) Polarity of horizontal sync pulse is positive. 

Scanline part

Pixels

Time [µs]

Visible area

640

20.31746031746

Front porch

32

1.015873015873

Sync pulse

64

.0317********

Back porch

96

.0476********

Whole line

832

26.412698412698

  Vertical timing (frame) Polarity of vertical sync pulse is negative. 

Frame part

Lines

Time [ms]

Visible area

350

9.2444444444444

Front porch

32

0.84520634920635

Sync pulse

3

.0792********

Back porch

60

1.5847619047619

Whole frame

445

11.753650793651

 640 x 400

 VGA 640x400@70 Hz (pixel clock 25.175 MHz)

  General timing

Screen refresh rate

70 Hz

Vertical refresh

31.46875 kHz

Pixel freq.

25.175 MHz

  Horizontal timing (line) Polarity of horizontal sync pulse is negative. 

Scanline part

Pixels

Time [µs]

Visible area

640

25.422045680238

Front porch

16

0.63555114200596

Sync pulse

96

3.8133068520357

Back porch

48

1.9066534260179

Whole line

800

31.777557100298

  Vertical timing (frame) Polarity of vertical sync pulse is positive. 

Frame part

Lines

Time [ms]

Visible area

400

12.711022840119

Front porch

12

0.38133068520357

Sync pulse

2

0.063555114200596

Back porch

35

1.1122144985104

Whole frame

449

14.268123138034

 VESA 640x400@85 Hz (pixel clock 31.5 MHz)

  General timing

Screen refresh rate

85 Hz

Vertical refresh

37.860576923077 kHz

Pixel freq.

31.5 MHz

  Horizontal timing (line) Polarity of horizontal sync pulse is negative. 

Scanline part

Pixels

Time [µs]

Visible area

640

20.31746031746

Front porch

32

1.015873015873

Sync pulse

64

.0317********

Back porch

96

.0476********

Whole line

832

26.412698412698

  Vertical timing (frame) Polarity of vertical sync pulse is positive. 

Frame part

Lines

Time [ms]

Visible area

400

10.565079365079

Front porch

1

0.026412698412698

Sync pulse

3

.0792********

Back porch

41

1.0829206349206

Whole frame

445

11.753650793651

 640 x 480

 VGA 640x480@60 Hz Industry standard (pixel clock 25.175 MHz) 

  General timing

Screen refresh rate

60 Hz

Vertical refresh

31.46875 kHz

Pixel freq.

25.175 MHz

  Horizontal timing (line) Polarity of horizontal sync pulse is negative. 

Scanline part

Pixels

Time [µs]

Visible area

640

25.422045680238

Front porch

16

0.63555114200596

Sync pulse

96

3.8133068520357

Back porch

48

1.9066534260179

Whole line

800

31.777557100298

  Vertical timing (frame) Polarity of vertical sync pulse is negative. 

Frame part

Lines

Time [ms]

Visible area

480

15.253227408143

Front porch

10

0.31777557100298

Sync pulse

2

0.063555114200596

Back porch

33

1.0486593843098

Whole frame

525

16.683217477656

 VGA 640x480@73 Hz (pixel clock 31.5 MHz) 

  General timing

Screen refresh rate

73 Hz

Vertical refresh

37.860576923077 kHz

Pixel freq.

31.5 MHz

  Horizontal timing (line) Polarity of horizontal sync pulse is negative. 

Scanline part

Pixels

Time [µs]

Visible area

640

20.31746031746

Front porch

24

0.76190476190476

Sync pulse

40

1.2698412698413

Back porch

128

4.0634920634921

Whole line

832

26.412698412698

  Vertical timing (frame) Polarity of vertical sync pulse is negative. 

Frame part

Lines

Time [ms]

Visible area

480

12.678095238095

Front porch

9

0.23771428571429

Sync pulse

2

0.052825396825397

Back porch

29

0.76596825396825

Whole frame

520

13.734603174603

 VESA 640x480@75 Hz (pixel clock 31.5 MHz) 

  General timing

Screen refresh rate

75 Hz

Vertical refresh

37.5 kHz

Pixel freq.

31.5 MHz

  Horizontal timing (line) Polarity of horizontal sync pulse is negative. 

Scanline part

Pixels

Time [µs]

Visible area

640

20.31746031746

Front porch

16

0.50793650793651

Sync pulse

64

.0317********

Back porch

120

3.8095238095238

Whole line

840

26.666666666667

  Vertical timing (frame) Polarity of vertical sync pulse is negative. 

Frame part

Lines

Time [ms]

Visible area

480

12.8

Front porch

1

0.026666666666667

Sync pulse

3

0.08

Back porch

16

0.42666666666667

Whole frame

500

13.333333333333

 SXGA (Mode 101) 640x480@85 Hz (pixel clock 36.0 MHz) 

  General timing

Screen refresh rate

85 Hz

Vertical refresh

43.269230769231 kHz

Pixel freq.

36.0 MHz

  Horizontal timing (line) Polarity of horizontal sync pulse is negative. 

Scanline part

Pixels

Time [µs]

Visible area

640

17.777777777778

Front porch

56

1.5555555555556

Sync pulse

56

1.5555555555556

Back porch

80

2.2222222222222

Whole line

832

23.111111111111

  Vertical timing (frame) Polarity of vertical sync pulse is negative. 

Frame part

Lines

Time [ms]

Visible area

480

.0933********

Front porch

1

.023*********

Sync pulse

3

0.069333333333333

Back porch

25

0.57777777777778

Whole frame

509

11.763555555556

 VESA 640x480@100 Hz (pixel clock 43.16 MHz)

  General timing

Screen refresh rate

100 Hz

Vertical refresh

50.896226415094 kHz

Pixel freq.

43.16 MHz

  Horizontal timing (line) Polarity of horizontal sync pulse is negative. 

Scanline part

Pixels

Time [µs]

Visible area

640

14.828544949027

Front porch

40

0.92678405931418

Sync pulse

64

1.4828544949027

Back porch

104

2.4096385542169

Whole line

848

19.647822057461

  Vertical timing (frame) Polarity of vertical sync pulse is positive. 

Frame part

Lines

Time [ms]

Visible area

480

9.4309545875811

Front porch

1

0.019647822057461

Sync pulse

3

0.058943466172382

Back porch

25

0.49119555143652

Whole frame

509

10.000741427247

 720 x 400

 VESA 720x400@85 Hz (pixel clock 35.5 MHz)

  General timing

Screen refresh rate

85 Hz

Vertical refresh

37.92735042735 kHz

Pixel freq.

35.5 MHz

  Horizontal timing (line) Polarity of horizontal sync pulse is negative. 

Scanline part

Pixels

Time [µs]

Visible area

720

20.281690140845

Front porch

36

1.0140845070423

Sync pulse

72

.028*********

Back porch

108

3.0422535211268

Whole line

936

26.366197183099

  Vertical timing (frame) Polarity of vertical sync pulse is positive. 

Frame part

Lines

Time [ms]

Visible area

400

10.546478873239

Front porch

1

0.026366197183099

Sync pulse

3

.0790********

Back porch

42

1.1073802816901

Whole frame

446

11.759323943662

 768 x 576

 VESA 768x576@60 Hz (pixel clock 34.96 MHz) 

  General timing

Screen refresh rate

60 Hz

Vertical refresh

35.819672131148 kHz

Pixel freq.

34.96 MHz

Horizontal timing (line) Polarity of horizontal sync pulse is negative. 

Scanline part

Pixels

Time [µs]

Visible area

768

21.967963386728

Front porch

24

0.68649885583524

Sync pulse

80

2.2883295194508

Back porch

104

2.974828375286

Whole line

976

27.9176201373

  Vertical timing (frame) Polarity of vertical sync pulse is positive. 

Frame part

Lines

Time [ms]

Visible area

576

16.080549199085

Front porch

1

.027*********

Sync pulse

3

.0837********

Back porch

17

0.4745995423341

Whole frame

597

16.666819221968

 VESA 768x576@72 Hz (pixel clock 42.93 MHz) 

  General timing

Screen refresh rate

72 Hz

Vertical refresh

43.276209677419 kHz

Pixel freq.

42.93 MHz

  Horizontal timing (line) Polarity of horizontal sync pulse is negative. 

Scanline part

Pixels

Time [µs]

Visible area

768

17.889587700908

Front porch

32

0.74539948753785

Sync pulse

80

1.8634987188446

Back porch

112

2.6088982063825

Whole line

992

23.107384113673

  Vertical timing (frame) Polarity of vertical sync pulse is positive. 

Frame part

Lines

Time [ms]

Visible area

576

13.309853249476

Front porch

1

.023*********

Sync pulse

3

0.06932215234102

Back porch

21

0.48525506638714

Whole frame

601

13.887537852318

 VESA 768x576@75 Hz (pixel clock 45.51 MHz) 

  General timing

Screen refresh rate

75 Hz

Vertical refresh

45.14880952381 kHz

Pixel freq.

45.51 MHz

  Horizontal timing (line) Polarity of horizontal sync pulse is negative. 

Scanline part

Pixels

Time [µs]

Visible area

768

16.875411997363

Front porch

40

0.878927708196

Sync pulse

80

1.757855416392

Back porch

120

2.636783124588

Whole line

1008

22.148978246539

  Vertical timing (frame) Polarity of vertical sync pulse is positive. 

Frame part

Lines

Time [ms]

Visible area

576

12.757811470007

Front porch

1

.022*********

Sync pulse

3

0.066446934739618

Back porch

22

0.48727752142386

Whole frame

602

13.333684904417

 VESA 768x576@85 Hz (pixel clock 51.84 MHz) 

  General timing

Screen refresh rate

85 Hz

Vertical refresh

51.428571428571 kHz

Pixel freq.

51.84 MHz

  Horizontal timing (line) Polarity of horizontal sync pulse is negative. 

Scanline part

Pixels

Time [µs]

Visible area

768

14.814814814815

Front porch

40

0.7716049382716

Sync pulse

80

1.5432098765432

Back porch

120

2.3148148148148

Whole line

1008

19.444444444444

  Vertical timing (frame)  Polarity of vertical sync pulse is positive. 

Frame part

Lines

Ti

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 经管营销 > 经济市场

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2