基于stm32的低频数字相位测量仪毕业设计论文.docx

上传人:b****7 文档编号:15700899 上传时间:2023-07-06 格式:DOCX 页数:29 大小:443.04KB
下载 相关 举报
基于stm32的低频数字相位测量仪毕业设计论文.docx_第1页
第1页 / 共29页
基于stm32的低频数字相位测量仪毕业设计论文.docx_第2页
第2页 / 共29页
基于stm32的低频数字相位测量仪毕业设计论文.docx_第3页
第3页 / 共29页
基于stm32的低频数字相位测量仪毕业设计论文.docx_第4页
第4页 / 共29页
基于stm32的低频数字相位测量仪毕业设计论文.docx_第5页
第5页 / 共29页
基于stm32的低频数字相位测量仪毕业设计论文.docx_第6页
第6页 / 共29页
基于stm32的低频数字相位测量仪毕业设计论文.docx_第7页
第7页 / 共29页
基于stm32的低频数字相位测量仪毕业设计论文.docx_第8页
第8页 / 共29页
基于stm32的低频数字相位测量仪毕业设计论文.docx_第9页
第9页 / 共29页
基于stm32的低频数字相位测量仪毕业设计论文.docx_第10页
第10页 / 共29页
基于stm32的低频数字相位测量仪毕业设计论文.docx_第11页
第11页 / 共29页
基于stm32的低频数字相位测量仪毕业设计论文.docx_第12页
第12页 / 共29页
基于stm32的低频数字相位测量仪毕业设计论文.docx_第13页
第13页 / 共29页
基于stm32的低频数字相位测量仪毕业设计论文.docx_第14页
第14页 / 共29页
基于stm32的低频数字相位测量仪毕业设计论文.docx_第15页
第15页 / 共29页
基于stm32的低频数字相位测量仪毕业设计论文.docx_第16页
第16页 / 共29页
基于stm32的低频数字相位测量仪毕业设计论文.docx_第17页
第17页 / 共29页
基于stm32的低频数字相位测量仪毕业设计论文.docx_第18页
第18页 / 共29页
基于stm32的低频数字相位测量仪毕业设计论文.docx_第19页
第19页 / 共29页
基于stm32的低频数字相位测量仪毕业设计论文.docx_第20页
第20页 / 共29页
亲,该文档总共29页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

基于stm32的低频数字相位测量仪毕业设计论文.docx

《基于stm32的低频数字相位测量仪毕业设计论文.docx》由会员分享,可在线阅读,更多相关《基于stm32的低频数字相位测量仪毕业设计论文.docx(29页珍藏版)》请在冰点文库上搜索。

基于stm32的低频数字相位测量仪毕业设计论文.docx

基于stm32的低频数字相位测量仪毕业设计论文

 

授课学期2014学年至2015学年

第一学期

学院电子工程学院

专业电子信息工程

学号201112701058201112701042201112701027201112701044201112701074

姓名罗春华何振华李智灵

吴诗凤凌琼娜

任课教师秦兴盛

交稿日期2014/11/7

成绩

阅读教师签名

日期

 

广西师范大学学工部(处)制

基于stm32的低频相位测量仪设计

摘要:

本设计提出了一种基于stm32f103rbt6单片机开发的低频数字相位测量仪的方案。

主要包括相位测量模块、单片机最小系统、显示模块的设计。

可以对低频率范围的信号进行相位等参数的精确测量,测相绝对误差不大于4°。

相位测量模块采用对输入的两路信号(同频率、不同相位)通过比较器整形、鉴相器异或之后得到的相位差,输入到单片机的中断口进行数据采集处理;采用LCD1602显示被测信号的相位差。

硬件结构简单,软件采用汇编语言实现,程序简单可读写性强、效率高。

与传统的电路系统相比,其有处理速度快、稳定性高、性价比高的优点。

关键词相位差单片机低频误差

THEDESIGNOFLOW-FREQUENCYPHASE-MEASURINGINSTRUMENT

HARDWARECIRCUIT

ABSTRACT

Thedesignofthislow-frequencydigitalphasemeasurementprogrambasedonstm32f103rbt6.Itincludephasemeasurementmodules,thesmallestsingle-chipsystems,displaymodule,powermoduledesign.Itcanmakeprecisionmeasurementoflow-frequencyrangephaseofthesignalparameters,measurementofabsoluteerrornogreaterthan1.Phasemeasurementmodulesusetwoinputsignals(Thesamefrequencyanddifferentphase)ThroughthecomparatorshapingXORphasedetectorofthephase,Tothemicrocontrollerinterruptinputportfordataacquisitionandprocessing.WechooseLCDtodisplaythemeasuredphasedifferencesignal.Thehardwarestructureissimple,softwarerealizationisshownbyassemblylanguage.Theprogramcanbereadandwrittensimplyandstronglyandhighefficiency.Comparedwiththetraditionalcircuitsystem,ithastheadvantagesoffasterprocessingspeed,highstability,cost-effective.

KEYWORDSPhaseMCULow-frequencyErroneous

目  录

1绪论1

1.1选题意义1

1.2课题研究内容2

2方案选择2

2.1设计方案论证2

2.2相位差测量方案选择3

3系统原理4

3.1原理框图4

3.2相位差的测量5

3.3MCU测量时间差及周期5

4系统硬件电路设计6

4.1相位测量模块设计7

4.1.1输入电路设计7

4.1.2鉴相器9

4.1.3相位测量电路设计10

4.2STM32最小系统设计14

4.2.1AT89C51的特性分析16

4.2.2时钟和启动17

4.2.3复位电路18

4.3显示模块设计19

5软件设计20

结束语23

参考文献24

附录25

1 绪论

近年来,随着科学技术的迅速发展,相位测量技术也广泛应用于国防、科研、生产等各个领域,很多测量仪逐渐向“智能仪器”和“自动测试系统”发展,这使得仪器的功能丰富而使用简单。

对相位测量的要求也逐步向高精度、高智能化方向发展,在低频范围内,相位测量在电力、机械等部门有着尤其重要的意义[1]。

对于低频相位的测量,用传统的模拟指针式仪表显然不能够满足所需的精度要求,随着电子技术以及微机技术的发展,数字式仪表因其高精度的测量分辨率以及高度的智能化、直观化的特点得到越来越广泛的应用。

同时,数字式相位测量仪在工业领域中也是经常用到的通用测量工具。

在电力系统中电网并网合闸时,要求两电网的电信号相同,这就要求精度的测量两工频信号之间的相位差。

还有测量两列同频信号的相位差在研究网络、系统的频率特性中具有重要的意义。

我们设计的相位测量系统电路,由MCU芯片和小规模的集成电路构成。

由于MCU芯片和可编程逻辑器件的集成度高,智能程度高,功能强大,使得它实现起来比较简单。

而且,具有体积小、性价比高、性能稳定的特点。

可以做工频配电柜的数字相位仪表,亦可作大中专院校相位因数研究等实验仪表,具有广泛的应用领域。

1.1 选题意义

随着微电子技术的迅猛发展,给国民经济、生产活动和社会活动带来极大的变革。

特别是集成电路和微电子技术的飞跃发展,更为设计、安装体积小、性能优越、功能全的装置创造了良好的条件。

可以说,电子技术的应用水平是现代化进程的一个重要标志。

微电子技术、计算机技术、通信技术、单片机原理技术等先进技术与具体应用对象相结合而设计的产品更是受世人青睐。

本次设计就是利用单片机实现对低频相位差的测量,使得仪表更加数字化、智能化,功能比起采用电子或数字电路更加强大。

在科学研究、实验或生产实践中,常常需要对低频移相网络的信号进行相位测量,但某些测量方法仅仅局限于测某一频率信号的相位,不能满足一定范围内任意频率信号的相位测量,总之低频相位测量仪的现代科学技术中的应用越来越多,比如在电力系统中常常需要对两个同频率信号(如工频电压和工频电流)之间的相位关系进行准确的测量。

“相位—时间”法和“功率比例法”是两种比较传统的相位差测量方法,在现代技术领域中还对基于离散傅里叶变换[2]的相位测量原理进行了研究,并有了一定的成效。

研究表明基于离散傅里叶变换原理的相位测量方法具有测量精度高、抗干扰能力强、电路设计简单等特点。

总之,相位测量技术在我们生活中起到了不可忽视的。

同时,在工业和民用场合,为了对各种低频信号进行测量分析,常常引入相位测量仪。

同频信号间相位差的测量在电力系统、工业自动化、智能控制及通信、电子、地球物理勘探等许多领域都有着广泛的应用。

尤其在工业领域中,相位不仅是衡量安全的重要依据,还可以为节约能源提供参考。

因此,研究和设计低频数字式相位测量仪,将会为国民经济的发展起到推动和促进作用。

1.2 课题研究内容

我们设计的数字式相位测量系统电路,主要是由MCU芯片和小规模的集成电路构成。

两路待测信号(同频率、不同相位的两路信号)通过整形电路,变成矩形波信号,再通过鉴相器,得到两路波形的正脉冲宽度,也就是所要测量的两路信号的相位差所对应的时间差。

以上部分构成了相位测量系统的相位测量电路[3]。

将其送到MCU外部中断口,再通过MCU处理数据(数字滤波、计算、送数据、键盘处理等),最后得到我们所要的相位值,并将其通过数码管显示出来。

2 方案选择

2.1 设计方案论证

从功能角度来看,相位测量仪要完成信号相位差的测量。

相位测量仪有两路输入信号,也是被测信号,他们是两个同频率的正弦信号,频率范围为20Hz~20KHz(正好是音频范围),幅度为UPP=1~5V(可以扩展到0.3~5V),但两者幅度不一定相等。

相位和相位差的概念[4]:

令正弦信号为:

(2.1)

2.1式中Am称为幅值(最大值),且

,A称为有效值;

称为相位,

称为初相位,

称为角频率。

Am、

称为正弦量的三要素。

只有两个同频率的(正弦)信号才有相位差的概念。

不妨令两个同频率的正弦信号为:

(2.2)

则相位差:

(2.3)

由2.3式中可看出,相位差在数值上等于初相位之差,

是一个角度

将输出接到IO,通过定时器TIM2计算输入脉冲数(Freq),TIM3产生系统脉冲,TIM5分频数,

计算公式:

Freq=time2_count*65536+TIM2->CNT;

Time2_count为溢出次数,TIM2->CNT为寄存器内部的值,两者之和是IO端口的脉冲数(Freaq)。

补偿:

Freq=Freq+Freq*9/500000+Freq*2/5000000;

相位差计算:

DutyCycle=Freq*TIM_GetCapture1(TIM5)*(TIM5_Period+1)/7200;

通过十分频,然后计算相位差。

时间的测量有多种方法,而设计题目关于相位测量仪的技术指标要求会影响到我们对方案的选择,MCU应用系统一般能较好的实现各种不同的测量及控制功能,往往还能满足一些设计要求比较高的技术指标,因此,我们在进行电子系统设计时,可用MCU实现系统功能,完成系统指标。

2.2 相位差测量方案选择

相位差测量的基本原理[5]主要有三种:

对信号波形的变换和比较、对傅氏级数的运算及对三角函数的运算,其实现方法如下:

过零点检测法[6]:

这是一种将相位测量变为时间测量的方法,其原理是将基准信号通过零的时刻与被测信号通过零的时刻进行比较,由二者之间的时间间隔,推算出两信号之间的相位差。

这种方法的特点是电路简单,对启动采样电路要求不高,同时该方法还具有测量分辨率高、线性好、易数学化等优点。

倍乘法:

任何一个周期函数都可以用傅氏级数表示,在这里运算器是一个乘法器,两个信号是频率相同的正弦数,相位差为一个角度

,运算结果再经过一个积分电路,得到直流电压:

(2.6)

电路的输出和被测信号相位差余弦成比例,因此其测量范围在45°以内,欲使测量范围扩展到360°,需要附加一些电路才能做到。

这种方法由于应用了积分环节,可以滤掉信号波形中的高次谐波,抑制了谐波对测量准确度的影响。

矢量法:

任何一个正弦函数都可以用矢量来表示,如两个正弦信号幅度相等、频率相同,运算器运用减法器则合成矢量的模:

(2.7)

这种方法用于测量小角度,灵敏度较好,可行度也较好;而在靠近180°附近灵敏度降低,读数困难也不准确。

由于输出是一余弦或正弦函数,因此这种方法适用的频带范围是较宽的信号。

上述三种测量相位的方法,各有优缺点,从测量范围、灵敏度、准确度、频率特性和谐波的敏感性等技术指标来看,过零点检测法比较好,它输出正比于相位差的直流电压和相位差的脉冲数,还易于实现数字化和自动化,现代的数字相位表多采用这种原理构成。

3 系统原理

3.1 原理框图

以单片机为核心的相位测量仪原理框图如图3-1所示:

图3-1以MCU为核心的相位测量仪原理框图

两路待测信号经整形后变成了矩形信号A、B,且可以认为A和B是同频率、不同相位的矩形波。

3.2 相位差的测量

鉴相器就是异或门,在鉴相器的输入波形

中,正脉冲宽度就是要测量的A和B相位差所对应的时间差

,如图3-2所示(其中波形C为鉴相器即异或门的输出波形):

图3-2鉴相器的输出及输入波形图

在测量相位差时还应该考虑超前、滞后两种情况(图中所示为A超前B)。

波形中的正脉冲作为门控信号,控制闸门的启闭,即控制MCU内部定时器/计数器的启动/停止,从而达到测量时间差

的目的,再根据公式

(3.1)

从而计算得到相位差

另外,由图3-2可知,

信号是A信号的二倍频(A与B同频),由此可见,对于同频不同相的两个信号,经过异或门后可得到二倍频的信号。

因此从这个意义上讲,异或门可以实现信号的二倍频。

3.3 MCU测量时间差及周期

下面详细谈谈MCU测量时间差、周期[7]的方法。

工作原理:

MCU—stm32单片机的芯片内部集成了个16bit定时器,stm32的定时器功能十分强大,有TIME1和TIME8等高级定时器,也有TIME2~TIME5等通用定时器,还有TIME6和TIME7等基本定时器。

stm32的通用定时器是一个通过可编程预分频器(PSC)驱动的16位自动装载计数器(CNT)构成。

STM32的通用定时器可以被用于:

测量输入信号的脉冲长度(输入捕获)或者产生输出波形(输出比较和PWM)等。

使用定时器预分频器和RCC时钟控制器预分频器,脉冲长度和波形周期可以在几个微秒到几个毫秒间调整。

stm32的每个通用定时器都是完全独立的,没有互相共享的任何资源。

stm32的通用TIMx(TIM2、TIM3、TIM4和TIM5)定时器功能包括:

1)16位向上、向下、向上/向下自动装载计数器(TIMx_CNT)。

2)16位可编程(可以实时修改)预分频器(TIMx_PSC),计数器时钟频率的分频系数为1~65535之间的任意数值。

3)4个独立通道(TIMx_CH1~4),这些通道可以用来作为:

A.输入捕获

B.输出比较

C.PWM生成(边缘或中间对齐模式)

D.单脉冲模式输出

4)可使用外部信号(TIMx_ETR)控制定时器和定时器互连(可以用1个定时器控制另外

一个定时器)的同步电路。

5)如下事件发生时产生中断/DMA:

A.更新:

计数器向上溢出/向下溢出,计数器初始化(通过软件或者内部/外部触发)

B.触发事件(计数器启动、停止、初始化或者由内部/外部触发计数)

C.输入捕获

D.输出比较

E.支持针对定位的增量(正交)编码器和霍尔传感器电路

F.触发输入作为外部时钟或者按周期的电流管理

4 系统硬件电路设计

本系统采用以MCU为核心的方案来完成低频相位测量仪的设计[8]。

本设计将硬件电路分为相位测量模块、单片机最小系统电路、显示电路模块和电源电路模块四部分。

通过相位测量电路采集到得两个同频正弦信号的相位差所对应的时间差以及信号周期,送到单片机的定时器外部中断口,让单片机最小系统完成读取数据,并能根据所读取的数据计算出两路同频信号之间的相位差,这就充分的发挥了单片机控制运算能力强的特点。

最后,由显示模块显示出所测量计算的相位差和输入频率。

4.1 相位测量模块设计

相位测量电路主要包括输入电路的设计和鉴相器电路部分的设计。

其中输入电路起到了波形转换及整形的功能。

4.1.1 输入电路设计

4.1.1.1 输入电路

被测信号是周期相同、幅度和相位不同的两路正弦信号,为了准确地测量出正弦信号的相位差,需要对输入波形进行整形[9],使输入信号变成矩形波信号,并送给鉴相器进行处理。

另外,在相位差测量的过程当中,不允许两路被测输入信号在整形输入电路中发生相对相移,或者应该是的两路被测信号在整形输入电路中引起的附加相移是相同的,因此,我们对A、B两路信号采用了相同的整形电路。

同时,为了避免出现被测信号在过零点时含有干扰,我们选用施密特触发器组成的整形电路。

由于施密特触发器是在单门限电压比较器的基础上引入了正反馈网络,因为正反馈的作用,它的门限电压随着输出电压U0的变化而变化,从而使施密特触发器有两个门限电压,所以可以提高输入电路的抗干扰能力。

如图4-1所示,电路中我们使用两个施密特触发器对两路被测输入信号进行整形。

在图4-1中,比较器LM339连接成了施密特触发器的形式。

为了保证输入电路对相位差的测量不带来误差,必须保证两个施密特触发器的两个门限电平对应相等,这可以通过调节电位器R8来实现。

图4-1由施密特触发器构成的整形电路

4.1.1.2 LM339的特性分析

LM339集成块内部装有四个独立的电压比较器,该电压比较器的特点是:

(1)失调电压小,典型值为2mV;

(2)电源电压范围宽,单电源为2-36V,双电源电压为±1V-±18V;

(3)对比较信号源的内阻限制较宽;

(4)共模范围很大,为0~(Ucc-1.5V)Vo;

(5)差动输入电压范围较大,大到可以等于电源电压;

(6)输出端电位可灵活方便地选用。

LM339集成块采用C-14型封装,图4-2为外型及管脚排列图。

由于LM339使用灵活,应用广泛,所以世界上各大IC生产厂、公司竟相推出自己的四比较器,如IR2339、ANI339、SF339等,它们的参数基本一致,可互换使用。

图4-2LM339外型及管脚排列图

LM339类似于增益不可调的运算放大器。

每个比较器有两个输入端和一个输出端。

两个输入端一个称为同相输入端,用“+”表示,另一个称为反相输入端,用“-”表示。

用作比较两个电压时,任意一个输入端加一个固定电压做参考电压(也称为门限电平,它可选择LM339输入共模范围的任何一点),另一端加一个待比较的信号电压。

当“+”端电压高于“-”端时,输出管截止,相当于输出端开路。

当“-”端电压高于“+”端时,输出管饱和,相当于输出端接低电位。

两个输入端电压差别大于10mV就能确保输出能从一种状态可靠地转换到另一种状态,因此,把LM339用在弱信号检测等场合是比较理想的。

LM339的输出端相当于一只不接集电极电阻的晶体三极管,在使用时输出端到正电源一般须接一只电阻(称为上拉电阻,选3—15K)。

选不同阻值的上拉电阻会影响输出端高电位的值。

因为当输出晶体三极管截止时,它的集电极电压基本上取决于上拉电阻与负载的值。

另外,各比较器的输出端允许连接在一起使用。

4.1.2 鉴相器

鉴相器就是我们所说的异或门电路[11],在相位测量电路中起到了测量时间差

的作用。

在这里我们选用的是74LS86芯片。

74LS86为四组2输入端异或门,管脚图如图4-3所示:

图4-374LS86管脚图

引出端符号:

1A-4A,1B-4B为输入端;

1Y-4Y为输出端。

其逻辑表达式为:

(4.1)

所以,其真值表如表4-1所示:

表4-174LS86真值表

输入

输出

A

B

Y

L

L

L

L

H

H

H

L

H

H

H

L

4.1.3 相位测量电路设计

由前面所说的相位和相位差的概念及联系,以及相位差与时间差之间的比例关系为:

(4.2)

可以通过测量时间差

及信号周期

,计算得到相位差

4.1.3.1 相位测量原理

结合我们设计的相位测量电路原理图4-4所示,当输入信号UA、UB经过运算放大器N1、N2过零检测之后,其输出信号UC、UD分别通两JK触发器,两个JK触发器的输出信号UE、UF经过异或门,而异或门的输出信号UG是一个脉冲宽度与UA、UB两信号之间相位查成正比的脉冲序列信号。

再将此脉冲序列信号送入到单片机外部中断口,进行数据处理[12]。

图4-4相位测量电路电路图

其各点的输出波形如图4-5所示:

图4-5相位测量电路各点波形图

4.1.3.2 单元电路的工作原理

JK触发器1、2的工作原理一样,这里我们以JK触发器1为例来说明一下它们的工作原理:

JK触发器的J端、K端和电源端均接高电平+5V上(注意JK触发器1处在计数状态)。

清除端通过R10接到电源+5V上,并清除端通过C1接地,当接通电源瞬间,清除端通过C1处于低电平,使Q端置于低电平;C1逐渐充电完毕,这时清除端通过R10处于高电平。

如果触发端C端接收触发脉冲时,Q端由低电平变为高电平;再来下一个脉冲,Q端又由高电平变为低电平,如此不断反复。

4.1.3.3 74LS113的特性分析

74LS113为双下降沿J-K触发器(有预置端)的简要说明:

74S113为带预置的两组J-K触发器,其主要电特性的典型值如表4-2所示:

表4-274LS113主要电特性

其管脚图如图4-6所示:

图4-674LS113管脚图

引出端符号:

/CP1、/CP2时钟输入端(下降沿有效)

J1、J2、K1、K2数据输入端

Q1、Q2、/Q1、/Q2输出端

/SD1、/SD2直接置位端(低电平有效)

功能表如表4-3所示:

表4-374LS113功能表

输入

输出

PR

/CP

J

K

Q

/Q

L

X

X

X

H

L

H

L

L

QO

/QO

H

H

L

H

L

H

L

H

L

H

H

H

H

/QO

QO

H

H

X

X

QO

/QO

(说明:

H-高电平,L-低电平,X-任意,↓-高到低电平跳变)

4.2 STM32最小系统设计

这部分是由单片机、晶振电路、按键电路等组成。

在设计中,我们充分利用单片机具有较强的运算能力和控制能力这一特点,使用单片机外部中断TIM2接收外部送来的对应于被测信号的时间、周期差,并在单片机内部完成相应的处理及相关运算。

另外,将待显示信息送给显示模块显示。

设计中的单片机是stm32f103rbt6[13],中等容量增强型,32位基于ARM核心的带128K字节闪存的微控制器,USB、CAN、7个定时器,2个ADC,9个通信接口。

图4-7为stm32f103rbt6单片机最小系统图。

图4-7STM32最小系统电路图

4.2.1 AT89C51的特性分析

4.2.1.1 主要参数

89C51具有4个I/O口,32根I/O口线,两个16位定时/计数器,一个5向量两级中断结构,一个全双工串行通信口,片内振荡器及时钟电路。

同时,AT89C51可降至0Hz的静态逻辑操作,并支持两种软件可选的节电工作模式。

空闲方式停CPU的工作,但允许RAM,定时/计数器,串行通信口及中断系统继续工作。

掉电方式保存了RAM中的内容,但振荡器停止工作并禁止其他所有部件工作指导下一个硬件复位。

4.2.1.2 引脚功能说明

STM32有100个引脚,每个GPIO引脚都可以由软件配置成输出(推挽或开漏)、输入(带或不带上拉或下拉)或复用的外设功能端口。

多数GPIO引脚都与数字或模拟的复用外设共用。

除了具有模拟输入功能的端口,所有的GPIO引脚都有大电流通过能力。

在需要的情况下,I/O引脚的外设功能可以通过一个特定的操作锁定,以避免意外的写入I/O寄存器。

在APB2上的I/O脚可达18MHz的翻转速度。

电源:

VCC:

运行和程序校检时加+3.3V。

GND:

地。

4.2.2 时钟和启动

系统时钟的选择是在启动的时候选择的,复位时内部8MHz的RC振荡器呗选为默认的CUP时钟,随后可以选择外部的、具失效监控的4~16MHz时钟;当检测到外部时钟失效时,他被隔离,系统将会自动地切换到内部的RC振荡器,如果使能了中断,软件可以接受到相应的中断。

同样,在需要时可以采取

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 农林牧渔 > 林学

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2