EDA课程设计汽车尾灯控制器.docx

上传人:b****7 文档编号:15975941 上传时间:2023-07-09 格式:DOCX 页数:24 大小:347.39KB
下载 相关 举报
EDA课程设计汽车尾灯控制器.docx_第1页
第1页 / 共24页
EDA课程设计汽车尾灯控制器.docx_第2页
第2页 / 共24页
EDA课程设计汽车尾灯控制器.docx_第3页
第3页 / 共24页
EDA课程设计汽车尾灯控制器.docx_第4页
第4页 / 共24页
EDA课程设计汽车尾灯控制器.docx_第5页
第5页 / 共24页
EDA课程设计汽车尾灯控制器.docx_第6页
第6页 / 共24页
EDA课程设计汽车尾灯控制器.docx_第7页
第7页 / 共24页
EDA课程设计汽车尾灯控制器.docx_第8页
第8页 / 共24页
EDA课程设计汽车尾灯控制器.docx_第9页
第9页 / 共24页
EDA课程设计汽车尾灯控制器.docx_第10页
第10页 / 共24页
EDA课程设计汽车尾灯控制器.docx_第11页
第11页 / 共24页
EDA课程设计汽车尾灯控制器.docx_第12页
第12页 / 共24页
EDA课程设计汽车尾灯控制器.docx_第13页
第13页 / 共24页
EDA课程设计汽车尾灯控制器.docx_第14页
第14页 / 共24页
EDA课程设计汽车尾灯控制器.docx_第15页
第15页 / 共24页
EDA课程设计汽车尾灯控制器.docx_第16页
第16页 / 共24页
EDA课程设计汽车尾灯控制器.docx_第17页
第17页 / 共24页
EDA课程设计汽车尾灯控制器.docx_第18页
第18页 / 共24页
EDA课程设计汽车尾灯控制器.docx_第19页
第19页 / 共24页
EDA课程设计汽车尾灯控制器.docx_第20页
第20页 / 共24页
亲,该文档总共24页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

EDA课程设计汽车尾灯控制器.docx

《EDA课程设计汽车尾灯控制器.docx》由会员分享,可在线阅读,更多相关《EDA课程设计汽车尾灯控制器.docx(24页珍藏版)》请在冰点文库上搜索。

EDA课程设计汽车尾灯控制器.docx

EDA课程设计汽车尾灯控制器

《EDA技术》课程设计说明书

汽车尾灯控制器设计

 

学院:

电气与信息工程学院

学生:

朱木宁

指导教师:

胡红艳职称\学位高级实验师

专业:

电子与信息工程

班级:

电子1401班

学号:

1430340118

完成时间:

2016/6

 

《EDA技术》课程设计任务书

学院:

电气与信息工程学院适应专业:

14级电子信息工程和通信工程

指导教师

胡红艳

学生

朱木宁

课题名称

汽车尾灯控制器设计

 

一、设计容

设计一个汽车尾灯控制器,控制汽车尾灯按照设定的程序亮灭。

二、主要任务:

(1)确定总体方案.运用EDA技术完成各模块的软件设计,运用所学的电路及电子技术知识完成硬件模块设计仿真.

(2)完成全部流程:

设计规文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。

一、拟达到的要求:

(1)恰当地运用所学理论知识,对总体方案进行必要的技术、经济比较,然后选定较佳的设计方案。

(2)编写各模块VHDL源程序,绘制原理框图、顶层电路模块划分图、系统总原理图电路图、流程图采用规的标准绘制,要求设计参数正确、布局合理。

二、技术指标:

1、汽车正常行驶时汽车尾灯不亮

2、汽车向左拐时左侧尾灯亮

3、汽车向右拐时右侧尾灯亮

4、汽车刹车时两个灯都亮

5、汽车倒车时两个灯不断闪亮

6、雾灯模式左侧灯不断闪亮

[1]欲晓等编著,EDA技术与VHDL电路开发应用实践[M],:

电子工业,2009;

[2]延飞等编著,基于ALTERAFPGA/CPLD的电子系统设计及工程实践[M],人民邮电,2009;

[3]江海主编,EDA技术[M],.华中科技大学,2013.02[

[4]艾明晶编著,EDA设计实验教程[M],.清华大学2014.03

[5]婷编著,EDA设计与应用基础[M],,气象,2015.01

[6]秋华主编,EDA技术及实验教程[M],.电子工业,2015.01

[7]马玉清主编,EDA技术(VHDL版)[M],,中国科技术大学,2014.05

[8]俊.EDA技术与VHDL编程[M].:

电子工业.2012

[9]炳权,曾庆立.EDA技术及实例开发教程[M].:

大学,2013

[10]林连冬,EDA技术开放实验室教研型实验教学[M].:

中国科技术大学2013.05

[11]EDA技术在现代数控插补系统中的应用研究[M].西北工业大学,2015.05

 

 

指导教师

意见

 

签名:

年月日

 

教研室

意见

 

签名:

年月日

摘要

 

随着社会的不断进步,现代化技术已经深入到人们生活的各个角落,而汽车作为较为方便的代步工具,已经成为我们生活中不可或缺的一部分。

人们对汽车的研究已经是非常的深入,为了使汽车更好的服务人类,人们从来没有停止过对汽车的研究,对于司机来说,汽车信号灯是汽车与汽车这间的交流渠道,不同的亮灯模式表达了不同的信息,是协调交通,避免交通事故发生的重要信息,所以汽车尾灯控制器的作用是毋庸置疑的。

本次课程设计采用的是EDA控制技术来实现汽车尾灯控制电路的设计。

首先给出了设计方案,然后进行的VHDL的程序设计,生成了底层文件,再画出了汽车尾灯控制系统的顶层文件原理图,同时进行了软件仿真和硬件下载测试。

本次设计较好的完成的课程设计的要求,使其控制系统使用更方便,成本更低廉。

关键词:

EDA技术,VHDL程序,硬件下载。

 

ABSTRACT

 

Withtheconstantprogressofthesociety,moderntechnologyhasgonedeepintoeverycornerofpeople'slives,andcarasmoreconvenientmeansoftransportationtool,hasbeeapartofanintegralpartofourlives.People'sstudyonthecarisalreadyverydeeply,inordertomakethecarbetterservicetohumanity,peoplehaveneverstoppedforautomobileresearchandfordrivers,carlightsarecarsandcarthechannelsofmunication,differentlightingmodestoexpressdifferentinformation,coordinatetransportation,avoidtrafficaccidentsimportantinformation,socartaillightcontrollerroleisbeyonddoubt.

Thisdesignfortheautomobiletaillightcontrollerdesign,usestheEDAcontroltechnologytorealizetheautomobiletaillightcontrolcircuitdesign.First,thedesignoftheprogram,andthentheVHDLprogramdesign,generatedtheunderlyingdocument,drawingoutthecarlightcontrolsystemschematic,whilethesoftwaresimulationanddownloadtest.Thisdesignbetterpletetherequirementsofthecurriculumdesign,sothattheuseofitscontrolsystemismoreconvenient,thecostismorelow.

Keywords:

EDAcontrol,VHDLprogram

 

1概述…………………………………………………………………6

1.1EDA简介……………………………………………………………6

1.2设计目的……………………………………………………………6

1.3设计简介及要求……………………………………………………7

2设计方案…………………………………………………………………8

3软件电路的设计…………………………………………………………9

3.1主控制模块…………………………………………………………9

3.2左侧控制模块……………………………………………………10

3.3雾灯模式、倒车控制模块…………………………………………11

3.4右侧控制模…………………………………………………………12

3.5汽车尾灯控制器系统电路…………………………………………13

4系统仿真………………………………………………………………14

4.1汽车控制器系统仿真………………………………………………14

4.2主控制模块仿真……………………………………………………15

4.3左侧控制模块仿真…………………………………………………15

4.4右侧控制模块仿真…………………………………………………16

4.5雾灯模式、倒车控制模块仿真……………………………………16

5下载测试……………………………………………………………17

5.1芯片选择及引脚锁定……………………………………………17

5.2下载测试…………………………………………………………18

结束语……………………………………………………………………19

参考文献…………………………………………………………………20

致………………………………………………………………………21

附录1主控模块程序……………………………………………………22

附录2左侧控制模块程序……………………………………………22

附录3雾灯、倒车控制模块程序……………………………………23

附录4右侧控制模块程序……………………………………………24

1概述

 

1.1EDA简介

随着电子技术的迅猛发展,高新技术日新月异,传统的设计方逐步退出历史舞台,取而代之的是基于EDA技术的芯片设计技术,它正成为电子系统设计的主流。

大规模可编程器件现场可编程门阵列FPGA(FieldProgrammableGateArray)和复杂可编程逻辑器件CPLD(plexProgrammableLogicDevice)是当今应用最广泛的两类可编程专用集成电路(ASIC)。

由于其性能好、可靠性高、容量大、体积小,微功耗、速度快、使用灵活、设计周期短、开发成本低,静态可重复编程、动态在系统重构、硬件功能可以像软件一样通过编程来修改,因此极提高了电子系统设计的灵活性和通用性。

在汽车运行过程中,驾车司机通过尾灯通知后继车辆本人的运行意图,对于维持正常的交通秩序,保障安全具有极其重要的意义。

汽车尾灯控制电路是随汽车智能化技术的发展而迅速发展起来的,汽车尾灯一般是用基于微处理的硬件电路构成,正因为硬件电路的局限性,不能随意的更改电路的功能和性能,且可靠性得不到保证,因此对汽车尾灯控制系统的发展带来一定的局限性,难以满足现代汽车的智能化发展。

本设计使用复杂可编程逻辑器件CPLD,用VHDL(VeryHighSpeedIntegratedCircuitHardwareDescriptionLanguage)对汽车尾灯控制电路进行设计,并用QuartusII软件进行CPLD芯片的编译和下载,生成的是集成化的数字电路,没有传统设计中的接线问题,硬件功能的改变可以通过编程来修改,所以故障率低、可靠性高,而且体积小,体现了EDA技术在数字电路设计中的优越性

1.2设计目的

EDA技术课程设计是在完成《EDA技术》理论课程教学以后所进行的重要实践教学环节。

本课程的学习目的在于使学生综合运用《EDA技术》课程及其它先修课程的理论知识进行EDA数字系统的设计实践,使理论知识和生产实际知识紧密结合起来,从而使这些知识得到进一步的巩固、加深和扩展。

EDA技术课程设计主要培养学生逻辑设计能力和采用EDA方法进行设计的思想,掌握CPLD/FPGA器件的开发应用。

建立设计流程的基本概念并掌握之;熟悉设计中使用的主流工具,掌握仿真工具ModelSim/NCVerilog、QuartusII/ISE以及相应的SOPCEDK;学习良好的技术文档撰写方法与文风;掌握逻辑设计与仿真验证的基本方法;通过设计实际训练,为后续专业课的学习、毕业设计及解决工程问题打下良好的基础。

1.3设计简介及要求

本次课程设计是一个汽车尾灯控制器的设计,汽车尾灯控制器有6个灯(左侧灯:

ZLED1、ZLED2、ZLED3、右侧灯:

YLED1、YLED2、YLED3)和6个脉冲按键(左转弯按键、右转弯按键、刹车按键、倒车按键、雾灯模式按键),本次设计要达到以下6点要求:

1、汽车正常行驶时汽车尾灯不亮

2、按下左转弯按键时左侧尾灯(ZLED1)亮

3、按下右转弯按键时右侧尾灯(YLED1)亮

4、按下刹车按键时两个灯(ZLED2、YLED2)都亮

5、按下倒车按键时左侧尾灯(ZLED3)不断闪亮

6、按下雾灯模式按键时右侧灯(YLED3)不断闪亮

 

2设计方案

汽车尾灯的控制就是一个状态机的事例,整体设方框如图1所示:

图1系统整体框图

整个控制系统有4个模块组成,主控制模块、左侧控制模块、右侧控制模块、雾灯模式倒车控制模块。

其中主控制模块有左、右转的控制、刹车的控制、倒车的控制、雾灯模式的控制,左控制模块有左侧转向灯和刹车指示灯的控制,右控制模块有右侧转向灯和刹车指示灯的控制,雾灯和倒车控制模块有雾灯模式指示灯和倒车指示灯的控制。

汽车尾灯控制器的工作过程。

当汽车向左转时;左侧控制模块ZLED1灯亮,但汽车向右转时;右侧控制模块YLED1灯亮;当汽车刹车时,左侧控制模块ZLED2灯和右侧控制部分YLED2灯同时亮;当汽车开启雾灯模式时,左侧控制模块ZLED3灯不断闪亮;当汽车刹车时,右侧控制模块YLED3不断闪亮;显示部分会显示各个控制模块LED灯的工作状态。

各个运行状态互不影响、相互独立。

当汽车正常行驶时,,所有的指示灯都不亮。

 

3软件电路的设计

 

3.1主控制模块

主控制模块功能:

控制其他的控制模块,起到中驱的作用。

主控制模块由VHDL程序实现,下面为它的实体部分VHDL代码:

ENTITYmasterIS

PORT(LEFT,RIGHT,BRAKE,FOG,BACK:

INSTD_LOGIC;-定义端口

LP,RP,LR,F,B,BRAKE_LED:

OUTSTD_LOGIC);

ENDENTITY;

详细程序见附录,主控制模块图如图2所示.

图2主控制模块图

图2中,LEFT为汽车左转控制输入信号,RIGHT为汽车右转控制输入信号,BRAKE为刹车控制输入信号,FOG为雾灯模式控制输入信号,BACK为倒车控制输入信号,都为高电平有效。

(主控制模块图2输入端口对应的输入信号如表1所示)输出信号LP为左转脉冲,输出信号RP为右转脉冲,输出信号F为雾灯模式脉冲,输出信号B为倒车模式脉冲,输出信号BRAKE_LED为刹车脉冲,(主控制模块图2输出端口对应输出信号如表2所示)

当LEFT输入信号为1时,CLK由0变为1,则输出信号LP输出为1;当输入信号RIGHT为1时,CLK由0变为1,则输出信号RP输出为1。

输出信号LR为左侧和右侧控制模块的使能信号(低电平有效),当输入信号LEFT和输入信号RIGHT同时为1时,输出信号LR输出为1,此时左侧和右侧控制模块都不起作用;当输入信号FOG为1时,CLK由0变为1,则输出信号F输出为1;当输入信号BRAKE为1时,CLK由0变为1,则输出信号B输出为1;当输入信号BRAKE为1时,CLK由0变为1,则输出信号BRAKE_LED输出为1。

个模块的输出与输入互不影响。

表1主控制模块输入端口对应的输入信号

输入端口LEFTRIGHTBACKFOGBRAKE

输入信号左转输入右转输入倒车输入雾灯输入刹车输入

表2主控制模块输出端口对应的输出信号

输出端口LPRPBFBRAKE-LEDLR

输出信号左转脉冲右转脉冲倒车脉冲雾灯脉冲刹车脉冲使能脉冲

3.2左侧控制模块

左侧控制模块功能:

执行主控模块下达的指令,控制左转信号灯,和刹车信号灯。

左控制模块由VHDL程序实现,下面为它的VHDL实体部分代码:

ENTITYLCIS

PORT(CLK,LP,LR,BRAKE:

INSTD_LOGIC;-定义端口

ZLED1,ZLED2:

OUTSTD_LOGIC);

ENDENTITYLC;

详细程序见附录,左侧控制模块图如图3所示:

图3左侧控制模块图

图3中,输入信号CLK为时钟信号:

输入信号LP为左转弯输入脉冲(高电平有效);输入信号LR为左侧模块与右侧模块的使能信号(低电平有效);输入信号BRAKE为刹车输入脉冲(高电平有效)。

(左侧控制模块图3输入端口对应的输入信号如表3所示)输出信号ZLED1为左转弯信号,输出信号ZLED2为刹车信号,(左侧控制模块图3输出端口对应的输出信号如表4所示)

表3左侧控制模块输入端口对应输入信号表

输入端口CLKLPLRBRAKE

输入信号时钟信号左转脉冲使能信号刹车脉冲

表4左侧控制模块输出端口对应输出信号表

输出端口ZLED1ZLED2

输出信号左转的亮灭刹车灯的亮灭

当输入信号LP为1时,CLK由0变为1,则输出信号ZLED1输出为1;当输入信号BRAKE为1,CLK由变为1,则输出信号ZLED2输出为1。

模块中输入输出信号各不影响。

3.3雾灯模式、倒车控制模块

雾灯模式、倒车控制模块功能:

执行主控模块下达的指令,控制雾灯模式信号灯,和倒车信号灯。

雾灯模式、倒车控制模块由VHDL程序实现,下面为它的VHDL代码实体部分:

ENTITYFogBackIS

PORT(CLK,F,B:

INSTD_LOGIC;

ZLED3,YLED3:

OUTSTD_LOGIC);-定义端口

ENDENTITY;

详细程序见附录,雾灯模式、倒车控制模块图如图4所示。

图4雾灯模式、倒车控制模块图

图4中,CLK为时钟输入信号:

F为雾灯模式输入脉冲(高电平有效);B为倒车输入脉冲(高电平有效);(雾灯模式、倒车控制模块图4输入端口对应的输入信号如表5所示)ZLED3为雾灯模式输出信号,YLED3为倒车输出信号.(雾灯模式、倒车控制模块图4输入端口对应的输入信号如表6所示)

当F为1时,ZLED3输出为CLK信号,(高低电平,频率与时钟信号一样)不断闪烁;当B为1时,YLED3输出信号为CLK信号,(高低电平,频率与时钟信号一样)不断闪烁。

该模块的各个输出输入信号之间也是互不影响。

表5雾灯模式、倒车控制模块输入端口对应的输入信号表

输入端口CLKFB

输出信号时钟信号雾灯脉冲倒车脉冲

表6雾灯模式、倒车控制模块输出端口对应的输出信号表

输出端口ZLED3YLED3

输出信号)雾灯的亮灭倒车灯的亮灭—

 

3.4右侧控制模块

右侧控制模块功能:

执行主控模块下达的指令,控制右转信号灯,和刹车信号灯。

右控制模块由VHDL程序实现,下面为它的VHDL实体部分代码:

ENTITYRCIS

PORT(CLK,RP,LR,BRAKE:

INSTD_LOGIC;-定义端口

YLED1,YLED2:

OUTSTD_LOGIC);

ENDENTITYRC;

详细程序见附录,右侧控制模块图如图5所示。

图5右侧控制模块图

图5中,CLK为时钟输入信号;RP为右转输入脉冲(高电平有效);LR为左侧模块与右侧模块的使能信号(低电平有效);BRAKE为刹车输入脉冲(高电平有效);(右侧控制模块图5输入端口对应的输入信号如表7所示)YLED1为右转弯输出信号,YLED2为刹车输出信号。

(右侧控制模块图5输入端口对应的输入信号如表8所示)

当P为1时,CLK由0变为1,则YLED1输出为1;当BRAKE为1,CLK由变为1,则YLED2输出为1。

模块中输入输出信号各不影响。

表7右侧控制模块输入端口对应的输入信号表

输入端口CLKRPLRBRAKE

输入信号时钟信号右转脉冲使能脉冲刹车脉冲

表8右侧控制模块输出端口对应的输出信号表

输出端口YLED1YLED2

输出信号左转灯的亮灭刹车灯的亮灭

3.5汽车尾灯控制器系统顶层电路

汽车尾灯控制器系统顶层文件由主控制模块、右侧控制模块、左侧控制模块、雾灯模式、倒车控制模块,四个底层文件组成,当各底层文件设计编译完成后,将各底层文件组成系统顶层文件.其顶层原理图如下图6所示:

图6中,CLK为时钟输入信号,汽车左转控制信号LEFT,汽车右转控制信号RIGHT,汽车刹车控制信号BRAKE,汽车雾灯模式控制信号FOG,汽车倒车控制信号BACK。

可设计系统输出信号:

左侧三个指示灯ZLED1,ZLED2,ZLED3和右侧三个指示灯YLED1,YLED2,YLED3来实现汽车尾灯控制器功能。

图6汽车尾灯控制系统原理图

系统的工作原理以及过程:

当汽车向左转的时候,左转弯信号LEFT为1,此时汽车左转指示灯ZLED1亮;当汽车向右转的时候,右转弯信号RIGHT为1,此时汽车左转指示灯YLED1亮;当汽车刹车的时候,汽车刹车信号BRAKE为1,此时汽车刹车指示灯右侧YLED2和左侧ZLED2亮;当汽车开始雾灯模式时,汽车雾灯信号FOG为1,此时ZLED3指示灯不断闪烁;当汽车倒车时,,汽车倒车信号BACK为1,此时YLED3指示灯不断闪烁。

这5种汽车尾灯工作状态相互独立,互不影响。

4系统仿真

 

4.1汽车尾灯控制器系统仿真

汽车尾灯主控制模块由VHDL程序实现后,其仿真图如图7所示。

图7汽车尾灯控制器系统仿真图

对仿真图7进行分析:

CLK为时钟输入信号,BACK为汽车倒车控制信号,BRAKE为汽车刹车控制信号,FOG为雾灯模式控制信号,LEFT为左转弯信号,RIGHT为汽车右转弯控制信号,上述信号都是高电平有效。

ZLED1为住转弯输出信号,

当LEFT为1时,ZLED1由0变为1,指示灯ZLED1亮,YLED1为右转弯输出信号,当RIGHT为1时,YLED1有0变为1,指示灯YLED1亮,ZLED2和YLED2为刹车输出信号,当BRAKE为1时,ZLED2和YLED2都由0变为1,指示灯ZLED2和YLED2亮,ZLED3为雾灯模式输出信号,当FOG为1时,ZLED3输出为时钟信号,指示灯ZLED3不断闪烁。

YLED3为倒车输出信号,BACK为1时,YLED3输出为时钟信号,YLED3指示灯不断闪烁。

通过对仿真图分析可知该系统中各个输出输入信号之间无影响。

 

4.2主控制模块仿真

汽车尾灯主控制模块由VHDL程序实现后,仿真图如图8所示。

图8汽车尾灯主控制模块仿真图

由仿真图8可知:

RIGHT,LEFT,BRAKE,FOG,BACK,为输入信号,RIGHT为1时表示汽车右转,LEFT为1时表示汽车左转,FOG为1时表示开启雾灯模式,BACK为1时表示汽车正在倒车。

RP,LP,B,F,BRAKE_LED,LR为输出信号。

如图可知:

但RIGHT为1时,产生一个RP为1的信号脉冲输出;当LEFT为1时,产生一个LP为1的信号脉冲输出:

当FOG为1的信号脉冲输出;当BRAKE为1时,产生一个BRAKE_LED为1的信号脉冲输出;当BACK为1时,产生一个B为1的信号脉冲输出;当LEFT和RIGHT同为1时产生一个LR为1的信号脉冲输出。

通过对仿真图分析可知该系统中各个输出输入信号之间无影响。

4.3左侧控制模块仿真

左侧控制模块由VHDL程序实现后,其仿真图如图9所示。

图9汽车尾灯控制器左侧模块仿真图

对仿真图9进行分析:

LP,LR,BRAKE为输入信号,CLK为时钟信号。

LP为1时表示左转,LR为1时表示模块不能使用,BRAKE为1表示刹车。

ZLED1、ZLED2为输出信号。

由图可知,当LP为1时,ZLED1输出为1表示左侧指示灯ZLED1亮,此时汽车左转弯;当BRAKE为1时,ZLED2输出为1表示指示灯ZLED2亮,此时汽车为刹车,通过对仿真图分析可知该系统中各个输出输入信号之间无影响。

 

4.4右侧控制模块仿真

右侧控制模块由VHDL程序实现后,其仿真图如图10所示。

图10汽车尾灯控制器右侧控制模块仿真图

对仿真图10进行分析:

RP,LR,BRAKE为输入信号,CLK为时钟信号。

R

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 经管营销 > 经济市场

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2