实验二D触发器的设计和仿真.docx

上传人:b****6 文档编号:16203474 上传时间:2023-07-11 格式:DOCX 页数:14 大小:289.65KB
下载 相关 举报
实验二D触发器的设计和仿真.docx_第1页
第1页 / 共14页
实验二D触发器的设计和仿真.docx_第2页
第2页 / 共14页
实验二D触发器的设计和仿真.docx_第3页
第3页 / 共14页
实验二D触发器的设计和仿真.docx_第4页
第4页 / 共14页
实验二D触发器的设计和仿真.docx_第5页
第5页 / 共14页
实验二D触发器的设计和仿真.docx_第6页
第6页 / 共14页
实验二D触发器的设计和仿真.docx_第7页
第7页 / 共14页
实验二D触发器的设计和仿真.docx_第8页
第8页 / 共14页
实验二D触发器的设计和仿真.docx_第9页
第9页 / 共14页
实验二D触发器的设计和仿真.docx_第10页
第10页 / 共14页
实验二D触发器的设计和仿真.docx_第11页
第11页 / 共14页
实验二D触发器的设计和仿真.docx_第12页
第12页 / 共14页
实验二D触发器的设计和仿真.docx_第13页
第13页 / 共14页
实验二D触发器的设计和仿真.docx_第14页
第14页 / 共14页
亲,该文档总共14页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

实验二D触发器的设计和仿真.docx

《实验二D触发器的设计和仿真.docx》由会员分享,可在线阅读,更多相关《实验二D触发器的设计和仿真.docx(14页珍藏版)》请在冰点文库上搜索。

实验二D触发器的设计和仿真.docx

实验二D触发器的设计和仿真

实验报告

 

课程名称

集成电路CAD

实验项目名称

基本门电路的设计、仿真与版图

实验类型

综合性实验

实验学时

4

班级

学号

姓名

指导教师

实验室名称

微电子实验室

实验时间

实验成绩

预习部分

实验过程

表现

实验报告

部分

总成绩

教师签字

日期

 

哈尔滨工程大学教务处制

实验二D触发器的设计和仿真

一、实验目的

1、学习模拟数字电路单元的基本设计方法。

2、学习Cadence工具下电路设计的基本操作和方法。

3、学习Sprectre工具的仿真操作方法。

二、实验内容

本实验通过设计一个异步清零的D触发器电路学习Cadence工具下电路的设计和仿真方法。

实验内容包括:

完成反相器、与非门、传输门电路的设计和仿真验证;完成各个单元电路symbol的建立;利用建立的单元电路symbol完成D触发器电路的设计和仿真;分析仿真结果。

该电路设计采用上华CSMC0.5umCMOS工艺设计,工作电压5V。

三、实验步骤

1、登陆到UNIX系统。

在登陆界面,输入用户名和密码,用户名和密码都为学生学号。

2、Cadence的启动。

启动Cadence软件的命令有很多,不同的启动命令可以启动不同的工具集,常用的启动命令有icfb,icca等,也可以单独启动单个工具。

3、原理图的输入。

(1)Composer的启动。

在CIW窗口新建一个单元的Schematic视图。

(2)添加器件。

在comparatorschematic窗口点击Add-Instance或者直接点i,就可以选择所需的器件。

(3)添加连线。

执行Add-Wire,将需要连接的部分用线连接起来。

(4)添加管脚。

执行Add-Pin和直接点p,弹出添加管脚界面。

(5)添加线名。

为设计中某些连线添加有意义的名称有助于在波形显示窗口中显出该条线的信号名称,也可以帮助检查电路错误。

点击Add-WireName,弹出新窗口,为输入输出线添加名称。

为四端的MOS器件的衬底添加名称vdd!

或gnd!

,其中!

表示全局变量。

(6)添加电源信号。

选择Vdd和Gnd的symbol各一个,在两个symbol之间连接一个vdc,设置直流电压5V。

(6)保存并检查。

点击schematic窗口上的CheckandSave按钮,察看是否有警告或者错误。

如果有,察看CIW窗口的提示。

4、电路仿真。

(1)启动模拟仿真环境。

在comparatorschematic窗口,选择Tools-AnalogEnvironment,弹出模拟仿真环境界面。

(2)选择仿真器。

在仿真窗口,选择Setup-Simulator/Directory/Host,设置仿真器为spectre,然后点击OK。

(3)设置模型库。

(4)设置分析类型。

在仿真窗口,点击ChooseAnalyses按钮,弹出ChooseAnalyses窗口,该窗口中列出了各种仿真类型,在本实验中只需进行瞬态仿真,所以选择tran,时间设为3um。

(5)设置设计变量,在仿真窗口中,点击EditVariables按钮,弹出变量设置窗口,点击CopyFrom按钮,整个设计本扫描一遍,设计中的各个变量被列出来。

(6)创建网表。

选择Simulation-Netlist-Create,产生了该设计的网表。

(7)设置波形显示工具。

Cadence中有两种波形显示工具:

AWD和wavescane,在仿真窗口选择Session-assign,在弹出的窗口中可以选择波形显示工具为AWD或wavescane。

(8)选择输出结果显示信号。

(9)进行仿真。

选择Simulation-Run,或者直接点击仿真窗口右下角的Run按钮来启动仿真,仿真开始过程中,在CIW窗口会出现一系列仿真信息,另外会弹出一个Spectre输出窗口。

仿真结束会自动弹出波形显示窗口。

(10)观察波形,看是否满足设计要求。

重复以上步骤完成反相器、传输门、与非门和D触发器电路的设计和仿真。

4、实验结果

1.首先从最基本的非门电路做起,主要由一个pmos管与nmos管组成。

下面分别是其电路图、符号图、仿真图。

 

(1).反相器电路图

(2).反相器符号图

(3).反相器化简符号图

(3).反相器仿真图

2.做的第二个电路是与非门电路,它的构造相对复杂一点,是由两个pmos管与两个nmos管组成,下面是它的电路图、符号图、仿真图。

(1).与非门电路图

(2).与非门符号图

(3).与非门仿真图

3.做的第三个电路图是传输们电路,传输门(TG)就是一种传输模拟信号的模拟开关。

CMOS传输门由一个P沟道和一个N沟道增强型MOSFET并联而成,下面是它的电路图、符号图。

(1).传输门电路图

(2).传输们符号图

4.D触发器电路的构造比较复杂,它是非门、与非门、传输门的综合应用,D触发器该触发器由6个与非门组成,D触发器也称为维持-阻塞边沿D触发器。

下面是它的电路图、符号图、仿真图、版图。

(1).D触发器电路图

(2).仿真结果

(3).D触发器的子电路版图

(4).完整D触发器的版图

五、实验结果分析

1.非门电路:

从仿真结果来看,给予电路一定的信号后电路的输入与输出结果是相反的,当输入为1时输出为0,输入为0时输出为1.虽然波形有一些失真,但不影响实验结果。

非门的真值表

  

输入A

输出Y

0

1

1

0

2.与非门电路:

对与非门电路进行仿真操作,由波形可以看到,两端的输入都为1,或者有一端为1时,输出信号为0,当两端输入都为0时输出的结果为1.与非门可以看作是与门和非门的叠加。

与非门真值表

A

B

Y

0

0

1

0

1

1

1

0

1

1

1

0

逻辑表达式:

Y=(A·B)'=A'+B'

3.传输门:

MOSFET的输出特性在原点附近呈线性对称关系,因而它们常用作模拟开关。

在数字逻辑电路设计中,传输门左端为输入,右端为输出,上端C反、下端C为控制端,当C反为0,C为1时TG门开通,此时右端输出out=左端输入in。

4.D触发器:

D触发器该触发器由6个与非门组成,其中G1和G2构成基本RS触发器。

电平触发的主从触发器工作时,必须在正跳沿前加入输入信号。

如果在CP高电平期间输入端出现干扰信号,那么就有可能使触发器的状态出错。

而边沿触发器允许在CP触发沿来到前一瞬间加入输入信号。

边沿D触发器也称为维持-阻塞边沿D触发器。

功能表

D

CLK

Q

QN

0

时钟边沿

0

1

1

时钟边沿

1

0

×

0

lastQ

lastQN

×

1

lastQ

lastQN

方程

六.实验心得

通过对电路的设计、仿真、画版图,我对Cadence的原理跟应用有了一个更加深从此的了解。

提高了自主解决问题的能力,对微电子这个行业更加熟悉与热爱。

也非常感谢老师的无私帮助。

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 自然科学 > 物理

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2