基于FPGA的彩灯控制器设计.docx

上传人:b****2 文档编号:1621839 上传时间:2023-05-01 格式:DOCX 页数:14 大小:151.03KB
下载 相关 举报
基于FPGA的彩灯控制器设计.docx_第1页
第1页 / 共14页
基于FPGA的彩灯控制器设计.docx_第2页
第2页 / 共14页
基于FPGA的彩灯控制器设计.docx_第3页
第3页 / 共14页
基于FPGA的彩灯控制器设计.docx_第4页
第4页 / 共14页
基于FPGA的彩灯控制器设计.docx_第5页
第5页 / 共14页
基于FPGA的彩灯控制器设计.docx_第6页
第6页 / 共14页
基于FPGA的彩灯控制器设计.docx_第7页
第7页 / 共14页
基于FPGA的彩灯控制器设计.docx_第8页
第8页 / 共14页
基于FPGA的彩灯控制器设计.docx_第9页
第9页 / 共14页
基于FPGA的彩灯控制器设计.docx_第10页
第10页 / 共14页
基于FPGA的彩灯控制器设计.docx_第11页
第11页 / 共14页
基于FPGA的彩灯控制器设计.docx_第12页
第12页 / 共14页
基于FPGA的彩灯控制器设计.docx_第13页
第13页 / 共14页
基于FPGA的彩灯控制器设计.docx_第14页
第14页 / 共14页
亲,该文档总共14页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

基于FPGA的彩灯控制器设计.docx

《基于FPGA的彩灯控制器设计.docx》由会员分享,可在线阅读,更多相关《基于FPGA的彩灯控制器设计.docx(14页珍藏版)》请在冰点文库上搜索。

基于FPGA的彩灯控制器设计.docx

基于FPGA的彩灯控制器设计

 

郑州轻工业学院

可编程数字系统设计

 

题目基于FPGA的彩灯控制器设计

学生姓名张焕焕

专业班级电子信息工程10-2班

学号541001030249

院(系)电气信息工程学院

指导教师胡智宏石军

完成时间2013年6月21日

郑州轻工业学院

课程设计任务书

题目基于FPGA的彩灯控制器设计

专业、班级电信10-02班学号541001030249姓名张焕焕

主要内容、基本要求、主要参考资料等:

主要内容:

要求学生使用硬件描述语言设计彩灯控制器的FPGA源程序,实现如下功能:

设计一个彩灯控制器,使彩灯(LED管)可以实现四种花样循环变化及两种不同频率的闪烁,有复位开关。

整个系统有七个输入信号CLK,CLR,A,B,C,D,OPT,六个输出信号控制六个彩灯。

OPT的高低电平控制彩灯闪烁的快慢,A,B,C,D控制各种不同花样彩灯的变换。

此彩灯控制系统设定有四种花样变化。

四种花样分别为:

a.彩灯从左到右逐次亮起,再逐次从右到左熄灭;b.彩灯两边同时亮两个,再逐次向中间亮;c.彩灯从左到右两个两个的亮,再从右到左两个两个逐次的亮;d.彩灯中间两个亮,再同时向两边散开。

基本要求:

1、掌握FPGA的程序设计方法。

2、掌握硬件描述语言语法。

3、程序设计完成后要求在软件中实现功能仿真。

主要参考资料:

1、周润景.基于QuartusⅡ的FPGA/CPLD数字系统设计实例[M].电子工业出版社.2007,8

2、潘松黄继业.EDA技术实用教程(第二版).北京:

科学出版社,2006.9

完成期限:

2013.6.21—2013.6.25

指导教师签名:

课程负责人签名:

基于FPGA的彩灯控制器设计

摘要

中文摘要

随着科技的发展,在现代生活中,彩灯作为一种景观应用越来越多。

而在电子电路设计领域中,电子设计自动化(EDA)工具已成为主要的设计手段,VHDL语言则是EDA的关键技术之一,它采用自顶向下的设计方法,即从系统总体要求出发,自上至下地将设计任务分解为不同的功能模块,最后将各功能模块连接形成顶层模块,完成系统硬件的整体设计。

本文介绍了基于EDA技的多路彩灯控制器的设计与分析。

在MAX+PLUSII环境下采用VHDL语言实现,论述了基于VHDL语言和FPGA芯片的数字系统设计思想和实现过程。

电子设计自动化技术EDA的发展给电子系统的设计带来了革命性的变化,EDA软件设计工具,硬件描述语言,可编程逻辑器件使得EDA技术的应用走向普及。

FPGA是新型的可编程逻辑器件,采用FPGA进行产品开发可以灵活地进行模块配置,大大缩短了产品开发周期,也有利于产品向小型化,集成化的方向发展。

关键词:

VHDL彩灯仿真控制

 

英文摘要

LanterncontrollerdesignbasedonFPGA

Withthedevelopmentofscienceandtechnology,inmodernlife,colorfullightsasalandscapemoreandmoreapplications.However,intheelectroniccircuitdesigndomain,theelectronicdesignautomation(EDA)toolshavebecomethemaindesignmethod,VHDLlanguageisoneofthekeytechnologiesofEDA,itusesfromthetopdesignmethod,namelyfromtheoverallsystemrequirements,fromtoptobottomofthedesigntaskdecompositionintothedifferentfunctionmodule,finallyeachfunctionmoduleisconnectedtoformthetopmodule,theoveralldesignofsystemhardware.Therefore,thispaperintroducesthedesignandanalysisofmultiplelightscontrollerbasedontheEDAtechnique.IntheMAX+PLUSIIenvironmentusingVHDLlanguage,discussesthedigitalsystemdesignideaofVHDLlanguageandtheFPGAchipandtheimplementationprocessbasedon.ThedevelopmentofelectronicdesignautomationtechnologyofEDAhasbroughtrevolutionarychangestothedesignofelectronicsystems,theEDAsoftwaredesigntool,thehardwaredescriptionlanguage,programmablelogicdevicemakestheapplicationofEDAtechnologytospread.TheFPGAisprogrammablelogicdevicemodel,usingFPGAcanflexiblymoduleconfigurationofproductdevelopment,shortentheproductdevelopmentcycle,alsoisadvantageoustotheproducttotheminiaturization,theintegrateddirection.

 

Keywords:

VHDLlanternsimulationcontrol

 

1、概述

1.1、设计目的

设计一个彩灯控制器,使彩灯(LED管)可以实现四种花样循环变化及两种不同频率的闪烁,有复位开关。

整个系统有七个输入信号CLK,CLR,A,B,C,D,OPT,六个输出信号控制六个彩灯。

OPT的高低电平控制彩灯闪烁的快慢,A,B,C,D控制各种不同花样彩灯的变换。

此彩灯控制系统设定有四种花样变化。

四种花样分别为:

a.彩灯从左到右逐次亮起,再逐次从右到左熄灭;b.彩灯两边同时亮两个,再逐次向中间亮;c.彩灯从左到右两个两个的亮,再从右到左两个两个逐次的亮;d.彩灯中间两个亮,再同时向两边散开。

1.2、设计要求

◆掌握FPGA的程序设计方法。

◆掌握硬件描述语言语法。

◆程序设计完成后要求在软件中实现功能仿真。

2、系统设计

2.1、设计原理

整个系统共有七个输入信号:

基准时钟信号CLK,系统清零信号(复位信号)CLR,彩灯节奏快慢选择开关OPT;共有4个输出信号Q[3..0],分别用于控制四路彩灯。

据此,我们可将整个彩灯控制器CDKZQ分为四大部分:

彩灯花样控制部分、分频部分、定时部分和计数部分。

当各个模块均完成上述操作之后,形成最后的四路彩灯显示系统,并且进行仿真。

仿真通过,即可下载到指定的FPGA芯片里面,并进行实际连线,进行最后的硬件测试。

把分频部分的不同频率的时钟信号CP输送到计数部分,从而控制彩灯闪烁速度的快慢,定时部分控制每种速度维持的时间长短。

2.1.1系统原理设计图

图表1

2.2、设计方案

在电路中以1代表灯亮,以0代表灯灭,由0、1按不同的规律组合代表不同的灯光图案,同时使其选择不同的频率,从而实现多种图案多种频率的花样功能显示。

在该电路中只需简单的修改程序就可以灵活地调整彩灯图案和变化方式。

下面就以一个四路彩灯控制系统的实现为例进行简单说明。

此四路彩灯控制系统设定有四种花样变化。

彩灯图案状态变换的速度有快、慢两种。

3、程序仿真过程

startcompilation先编译

generatefunctionalsimulationnetlist然后生成功能仿真网络表

assignments–setting—simulationsetting设置成功能仿真

然后新建一个vectorwaveformfile

通过view—utilitywindows--nodefinder把输入输出管脚添加进波形仿真图里点击startsimulation

3.1仿真图

图表2

由图可见:

正常情况下灯按照花样abcd的顺序循环d在80NS---120NS的高电平使得花样变为D花样,D高电平结束,灯顺序进行A花样。

OPT在80NS---170NS高电平使得灯变换速度提高2倍但是001100和100000这两种灯的状态任然占用了两个时钟周期,这是因为花样d和花样a状态转换之间没有立即衔接,导致220NS处CLR高电平使得灯全部清0,不再变化DIV2是二分频输出,由图可见是输入时钟CLK的二分频。

4、实验结果分析

实验表明,此设计方法能够满足多种不同花样彩灯的变化要求,并且该方法便于扩展不同变化模式的彩灯花样,同时又实现了彩灯的两种不同频率的闪烁。

如果不按任何按键时候,灯就按四种花样顺序循环跑,如果按下某个键再松开,比如按下C键然后松开,那么不管之前灯跑到哪个花样,按键后灯就会从C代表的花样处开始跑,然后是D花样,然后是A花样,依次循环。

但是A,B,C,D认为是按下会弹起的按键,因为这个程序里,如果一直按着按键不松手,会导致灯只是反复跑一个花样。

比如按着B键不松手,那么就会反复跑B花样。

还有不能允许同时按下两个键,三个键,4个键,也就是说,同一时刻ABCD只能有一个是高电平,否则会出错,因为这个程序并没有防错机制。

5、心得体会

本次设计的课题是彩灯控制器的设计,当拿到这个课题的时候经过分析就知道关键是计数器和分频器的使用,分频的方法有很多种,对于同一种功能的实现,用VHDL可以采用多种方式进行描述,每种方式之间各有优劣,本次设计只采用了其中较简单的一种,应尽量用最简洁的语言写出所需功能的程序。

 

  通过这次课程设计对EDA技术有了更进一步的熟悉,VHDL 语言和C语言等其他语言还是有很大的区别。

VHDL是EDA技术的重要组成部分,其具有与具体硬件电路无关和与设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化、结构化设计方面,表现了强大的生命力和应用潜力。

其主要的也是最大的优点就在于设计者可以专心致力于其功能的实现,而不需要对不影响功能的与工艺有关的因素花费过多的时间和精力。

在实际操作中发现设计和课本上的知识有很大联系,但又高于课本,一个简单的原理要把它应用以及和其他功能综合起来就有些困难。

通过设计也巩固了我们的书本知识以及通过借阅书籍和上网查找资料,也丰富了自己对EDA的了解。

6、致谢

本设计过程中得到老师的悉心指导,设计过程中老师多次帮我分析思路,开拓视角,在我遇到困难想要放弃的时候给予我最大的支持和鼓励。

老师那严谨求实的治学态度、踏实坚韧的工作精神,将使我终身受益;另外同学和朋友的热心帮助也使我学到了不少的知识。

再多华丽的语言也显得苍白,在此,谨向老师和同学们致以诚挚的谢意和崇高的敬意。

因为他们我对这次课程设计有了深刻的印象,虽然只有短暂的一周,但在这期间,却让我受益匪浅,我深刻地认识到了“理论联系实际”这句话的重要性与真实性。

 

7、参考文献

[1]周润景.基于QuartusⅡ的FPGA/CPLD数字系统设计实例[M].西安:

电子工业出版社,2007,8

[2]潘松黄继业.EDA技术实用教程(第二版)[M].北京:

科学出版社,2006.9

[3]林明权马维旻.VHDL数字控制系统设计范例[M].西安:

电子

工业出版社,2003.1

[4]褚振勇.FPGA设计及应用(第三版)[M].西安:

电子科技大学出版社,2012.4

[5]张毅刚彭喜元彭宇.单片机原理及应用(第二版)[M].北京:

高等教育出版社,2009.11

 

8、附录

彩灯控制器的源程序

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entityhorseracelampis

port(clk:

instd_logic;

clr:

instd_logic;

a:

instd_logic;

b:

instd_logic;

c:

instd_logic;

d:

instd_logic;

opt:

instd_logic;

q:

outstd_logic_vector(5downto0);

div2:

outstd_logic

);

end;

architectureoneofhorseracelampis

typestatesis(s0,s1,s2,s3);

signalpresent:

states;

signalq1:

std_logic_vector(5downto0);

signalcount:

std_logic_vector(3downto0);

signalhalfclk:

std_logic_vector(1downto0);

signalclkin:

std_logic_vector(1downto0);

begin

process(clk)

begin

ifclk'eventandclk='1'then

halfclk<=halfclk+1;

endif;

endprocess;

process(opt)

begin

ifopt='0'

then

clkin(0)<=halfclk(0);

else

clkin(0)<=clk;

endif;

endprocess;

process(clk,clr,a,b,c,d)

begin

ifclr='1'then

present<=s0;

q1<=(others=>'0');

elsifclkin(0)'eventandclkin(0)='1'then

casepresentis

whens0=>ifq1="000000"then

q1<="100000";

else

ifcount="1100"then

count<=(others=>'0');

q1<="100001";

present<=s1;

elsifb='1'then

count<=(others=>'0');

q1<="100001";

present<=s1;

elsifc='1'then

count<=(others=>'0');

q1<="110000";

present<=s2;

elsifd='1'then

count<=(others=>'0');

q1<="001100";

present<=s3;

else

casecountis

when"0000"=>q1<="100000";

when"0001"=>q1<="110000";

when"0010"=>q1<="111000";

when"0011"=>q1<="111100";

when"0100"=>q1<="111110";

when"0101"=>q1<="111111";

when"0110"=>q1<="111110";

when"0111"=>q1<="111100";

when"1000"=>q1<="111000";

when"1001"=>q1<="110000";

when"1010"=>q1<="100000";

when"1011"=>q1<="000000";

whenothers=>null;

endcase;

count<=count+1;

present<=s0;

endif;

endif;

whens1=>ifcount="0011"then

count<=(others=>'0');

q1<="110000";

present<=s2;

elsifa='1'then

count<=(others=>'0');

q1<="100000";

present<=s0;

elsifc='1'then

count<=(others=>'0');

q1<="110000";

present<=s2;

elsifd='1'then

count<=(others=>'0');

q1<="001100";

present<=s3;

else

casecountis

when"0000"=>q1<="100001";

when"0001"=>q1<="110011";

when"0010"=>q1<="111111";

whenothers=>null;

endcase;

count<=count+1;

present<=s1;

endif;

whens2=>ifcount="0110"then

count<=(others=>'0');

q1<="001100";

present<=s3;

elsifa='1'then

count<=(others=>'0');

q1<="100000";

present<=s0;

elsifb='1'then

count<=(others=>'0');

q1<="100001";

present<=s1;

elsifd='1'then

count<=(others=>'0');

q1<="001100";

present<=s3;

else

casecountis

when"0000"=>q1<="110000";

when"0001"=>q1<="111100";

when"0010"=>q1<="111111";

when"0011"=>q1<="000011";

when"0100"=>q1<="001100";

when"0101"=>q1<="110000";

whenothers=>null;

endcase;

count<=count+1;

present<=s2;

endif;

whens3=>ifcount="0011"then

count<=(others=>'0');

q1<="100000";

present<=s0;

elsifa='1'then

count<=(others=>'0');

q1<="100000";

present<=s0;

elsifb='1'then

count<=(others=>'0');

q1<="100001";

present<=s1;

elsifc='1'then

count<=(others=>'0');

q1<="110000";

present<=s2;

else

casecountis

when"0000"=>q1<="001100";

when"0001"=>q1<="010010";

when"0010"=>q1<="100001";

whenothers=>null;

endcase;

count<=count+1;

present<=s3;

endif;

endcase;

endif;

endprocess;

div2<=halfclk(0);

q<=q1;

end;

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 工作范文 > 行政公文

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2