基于单片机的便携式甲醛检测仪的控制系统设计大学论文.docx

上传人:b****7 文档编号:16419779 上传时间:2023-07-13 格式:DOCX 页数:63 大小:1.30MB
下载 相关 举报
基于单片机的便携式甲醛检测仪的控制系统设计大学论文.docx_第1页
第1页 / 共63页
基于单片机的便携式甲醛检测仪的控制系统设计大学论文.docx_第2页
第2页 / 共63页
基于单片机的便携式甲醛检测仪的控制系统设计大学论文.docx_第3页
第3页 / 共63页
基于单片机的便携式甲醛检测仪的控制系统设计大学论文.docx_第4页
第4页 / 共63页
基于单片机的便携式甲醛检测仪的控制系统设计大学论文.docx_第5页
第5页 / 共63页
基于单片机的便携式甲醛检测仪的控制系统设计大学论文.docx_第6页
第6页 / 共63页
基于单片机的便携式甲醛检测仪的控制系统设计大学论文.docx_第7页
第7页 / 共63页
基于单片机的便携式甲醛检测仪的控制系统设计大学论文.docx_第8页
第8页 / 共63页
基于单片机的便携式甲醛检测仪的控制系统设计大学论文.docx_第9页
第9页 / 共63页
基于单片机的便携式甲醛检测仪的控制系统设计大学论文.docx_第10页
第10页 / 共63页
基于单片机的便携式甲醛检测仪的控制系统设计大学论文.docx_第11页
第11页 / 共63页
基于单片机的便携式甲醛检测仪的控制系统设计大学论文.docx_第12页
第12页 / 共63页
基于单片机的便携式甲醛检测仪的控制系统设计大学论文.docx_第13页
第13页 / 共63页
基于单片机的便携式甲醛检测仪的控制系统设计大学论文.docx_第14页
第14页 / 共63页
基于单片机的便携式甲醛检测仪的控制系统设计大学论文.docx_第15页
第15页 / 共63页
基于单片机的便携式甲醛检测仪的控制系统设计大学论文.docx_第16页
第16页 / 共63页
基于单片机的便携式甲醛检测仪的控制系统设计大学论文.docx_第17页
第17页 / 共63页
基于单片机的便携式甲醛检测仪的控制系统设计大学论文.docx_第18页
第18页 / 共63页
基于单片机的便携式甲醛检测仪的控制系统设计大学论文.docx_第19页
第19页 / 共63页
基于单片机的便携式甲醛检测仪的控制系统设计大学论文.docx_第20页
第20页 / 共63页
亲,该文档总共63页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

基于单片机的便携式甲醛检测仪的控制系统设计大学论文.docx

《基于单片机的便携式甲醛检测仪的控制系统设计大学论文.docx》由会员分享,可在线阅读,更多相关《基于单片机的便携式甲醛检测仪的控制系统设计大学论文.docx(63页珍藏版)》请在冰点文库上搜索。

基于单片机的便携式甲醛检测仪的控制系统设计大学论文.docx

基于单片机的便携式甲醛检测仪的控制系统设计大学论文

基于单片机的便携式甲醛检测仪的控制系统设计

摘要

本文研究设计了一种用于公共场所及室内具有检测及超限报警功能的甲醛智能测试仪。

其设计方案基于89C51单片机,选择瑞士蒙巴波公司的CH20/S-10甲醛传感器。

系统将传感器输出的4~20mA的标准信号通过以AD0832为核心的A/D转换电路调理后,经由单片机进行数据处理,最后由LCD显示甲醛浓度值。

文中详细介绍了数据采集子系统、数据处理过程以及数据显示子系统和报警电路的设计方法和过程。

系统对于采样地点超出规定的甲醛容许浓度时采用三极管驱动的单音频报警电路提醒监测人员。

同时,操作人员对于具体报警点的上限值可以通过单片机编程进行设置。

另外,该系统对浓度信号进行了信号补偿等处理,减少了测量误差,因此,具有较高的测量精度,而且结构简单,性能优良。

本系统的量程为0-10ppm,精度为0.039ppm。

关键词:

甲醛检测,数据采集处理系统,硬件电路,软件设计,A/D转换器,AT89C52单片机

 

1绪论

1.1引言

1.1.1甲醛的特性及危害

甲醛是一种无色,有强烈刺激性气味的气体。

易溶于水、醇和醚。

甲醛在常温下是气态,通常以水溶液形式出现。

其37%的水溶液称为福尔马林,医学和科研部门常用于标本的防腐保存。

此溶液沸点为19.5℃故在室温时极易挥发,随着温度的上升甲醛的挥发速度加快。

在我国有毒化学品优先控制名单中甲醛列居第二位。

甲醛已被世界卫生组织确定为致癌和致畸形物质。

甲醛是原浆毒物,能

与蛋白质结合,吸入高浓度甲醛后会出现呼吸道的严重刺激和水肿、眼刺痛、头痛,也可发生支气管哮喘皮肤直接接触甲醛,可引起皮炎、色斑、坏死。

经常吸入少量甲醛,能引起慢性中毒,出现粘膜充血、皮肤刺激症、过敏性皮炎、指甲角化和脆弱等。

全身症状有头痛、乏力、心悸、失眠、体重减轻以及植物神经紊乱等。

1.1.2甲醛的来源

(1)室内装修所用的合成板材,如胶合板、细木工板、高密度板、刨花板。

这些板材中甲醛起胶合剂、防腐剂的作用,主要用于加强板材的硬度、防虫、防腐。

板材中残留的和未参与反应的甲醛逐渐向周围环境释放,是室内空气中甲醛的主要来源。

(2)用合成板材制造的家具,厂家为了追求利润使用不合格的板材,再粘贴面材料时使用不合格的胶水,造成家具中甲醛含量超标。

(3)含有甲醛成分并有可能向外界散发的各类装饰材料,如壁纸、地毯、油漆。

1.2甲醛检测仪的种类

目前,市场上甲醛检测仪的种类是多种多样,其中较常见的是采用试验纸光电光度法,即:

当甲醛气体吹到浸有发色剂的试纸上时,与浸有发色剂的TAB组合就会因发生化学反应而变色。

甲醛同试纸接触后含在纸里的试药就会同甲醛反应生成化合物,颜色就会从白色变成黄色。

变色的程度可反映出所受光的反射光量,反射光量的强度变化率可以作为被测气体的甲醛含量的应答值。

预先设定检测线,便可通过测量其反应率来测出甲醛气体的浓度值。

在气体的采集方面有的是采用自动吸引式(内藏微型气泵),有的采用扩散式。

对于试验纸光电光度法

来分析甲醛的浓度,它的优点是灵敏度高,操作简便,测定速度快。

而该方法在分析甲醛浓度时往往采用的是目视比色法,它的缺点是:

1.由于许多有色溶液不够稳定,不能久存,经常需要在测定的同时配制溶液,比较费时费事。

2.目视比色的准确度低,一般相对误差为±5~20%。

本论文设计的便携式甲醛检测仪所涉及的甲醛传感器是电化学传感器。

它可以将甲醛气体的浓度转换为微弱的电流信号。

这样就可以通过电流电压变换电路将微弱的电流信号转换为可以测量的稳定的电压信号,增强了电信号的稳定性。

1.3系统总概述

本论文主要完成甲醛检测仪软件设计,设计内容包括:

A/D转换器程序、控制程序、超标报警、键盘检测、数据显示等。

本系统采用单片机为控制核心,以实现便携式甲醛检测仪的基本控制功能。

系统主要功能内容包括:

数据处理、时间设置、开始测量、超标报警、键盘检测、自动休眠:

仪器若不进行称量操作,5分钟后自动进入休眠模式,以降低电源消耗。

本系统设计采用功能模块化的设计思想,系统主要分为总体方案设计、硬件和软件的设计三大部分。

1.4总体方案设计

室内甲醛污染对人身体健康影响较大,标准规定的方法绝大多数是化学分析法,使用的手段是实验室分析仪器,主要有比色计、分光光度计、化学滴定、气相和液相色谱。

但这些方法费力费时、成本高、自动化程度低过程复杂、大多数过程是人工操作很难做到现场实时控制。

本设计可选用基于电化学原理的甲醛传感器,其原理是空气中的甲醛在电极下发生氧化反应,产生的扩散电极电流与空气中的甲醛浓度成正比,通过检测放大电路和放大倍数的调整经A/D转换后送单片机、由单片机现场自动控制检测并显示甲醛浓度。

由于甲醛含量超量的话,将对人体健康造成很大的影响。

具有民用价值的便携式甲醛检测仪的研制受到了人们的高度重视。

设计能够满足生活需要,携带方便的便携式甲醛检测仪迫在眉睫。

针对目前的现状,本系统设计遵守体积小,质量轻,性价比高的原则。

2硬件设计

2.1硬件设计结构

硬件设计部分主要包括:

(MCU、A/D、时钟芯片、LCD、外围扩展数据RAM)等芯片的选择;硬件主电路设计、数据采集、模数转换电路设计、液晶显示电路设计、外围扩充存储器接口电路、时钟电路、复位电路、键盘接口电路等功能模块电路设计。

硬件结构框图2-1:

图2-1硬件结构框图

2.2硬件设计主电路图

硬件设计住电路图见附录一

2.3硬件选择

2.3.1MCU的选择与简介

(1)单片机的概念和特点

现代社会中,尽管PC机的应用已经相当普遍,但是,在工控领域,在日益追求小而精、轻而薄的自动化控制器、自动化仪器仪表、家电产品等方面,PC机仍有所不相适宜的地方。

而工业控制、仪器仪表、家电产品等市场广阔,要求PC机技术与之相适应。

在这种情况下,单片机应运而生了(也称作微型计算机)。

微型计算机的基本机构是由中央处理器、储存器、和I/O设备构成的。

所谓的单片机是指将微型计算机3个单元的多个分体中的主要功能用1个集成电路芯片来实现,该芯片具有一个微型计算机的基本功能。

这种超大规模集成电路芯片即称为单片微型计算机,通常简称单片机。

单片机具有以下特点:

①受集成度限制,片内存储容量较小,一般8位单片机的ROM小于8/16K字节,RAM小于256字节,但可在外部扩展,通常ROM、RAM可分别扩展至64K字节。

②可靠性好。

芯片本身是按工业测控环境要求设计的,其抗工业噪声干扰优于一般通用CPU;程序指令及常数、表格固化在ROM中不易破坏;许多信号通道均在一个芯片内,故可靠性高。

③易扩展。

片内具有计算机正常运行所必需的部件。

芯片外部有许多供扩展用的三总线及并行、串行输入/输出管脚,很容易构成各种规模的计算机应用系统。

④控制功能强。

为了满足工业控制要求,一般单片机的指令系统中具有极丰富的条件分支转移指令、I/O口的逻辑操作以及位处理功能。

一般说来,单片机的逻辑控制功能及运行速度均高于同一档次的微处理器。

⑤一般单片机内无监控程序或系统通用管理软件,只放置有用户调试好的应用程序。

但近年来也开始出现了在片内固化有BASIC解释程序的单片机。

(2)单片机的发展与趋势

由于单片机具有以上特点,因此在工业控制、数据采集、智能仪器仪表、智能化设备和各种家用电器等领域得到广泛的应用。

随着微电子工艺水平的提高,近十年来单片微型计算机有了飞速的发展。

归纳起来,它是沿着两条路发展的:

①改进集成电路制造工艺,提高芯片的工作速度,降低工作电压和降低功耗:

②在保留共同的CPU体系结构,最基本的外设装置(如异步串行口,定时器等)和一套公用的指令系统的基础上,根据不同的应用领域,把不同的外设装置集成到芯片内,在同一个家族内繁衍滋生出各种型号的单片机。

另外在单片机的应用中,可靠性是首要因素,为了扩大单片机的应用范围和领域,提高单片机自身的可靠性是一种有效方法。

近年来,单片机的生产厂家在单片机设计上采用了各种提高可靠性的新技术,主要表现在一下几点:

a)EFT(ElectricalFastTransient)技术;

b)低噪音布线技术及驱动技术;

c)采用低频时钟。

总之,单片机在目前的发展形势下,表现出几大趋势:

a)可靠性及应用水平越来越高,和internet连接已是一种明显的走向;

b)所集成的部件越来越多;

c)功耗越来越低;

d)和模拟电路结合越来越多。

(3)单片机选择

①本系统采用单片机为控制核心。

单片机/MCU主要有51基本型和52增强型,而相比之下52型比51型功能更为强大,ROM和RAM存储空间更大,52还兼容51指令系统。

基于本系统设计内容的需要,综合考虑后,我们选择单片机ATME公司的AT89C52为控制核心;主要基于考虑AT89C52是一个低电压,高性能CMOS8位单片机,片内含8kbytes的可反复擦写的Flash只读程序存储器和256bytes的随机存取数据存储器(RAM)、6个中断源;时钟频率0-24MHz;器件采用高密度、非易失性存储技术生产,并兼容标准MCS-51指令系统,功能强大。

②AT89C52介绍

AT89C52是美国ATMEL公司生产的低电压,高性能CMOS8位单片机,片内含8Kbytes的可反复擦写的只读程序存储器(PEROM)和256Kbytes的随机存取数据存储器,器件采用ATMEL公司的高密度,非易失性存储技术生产,与标准MCS-51指令系统及8052产品引脚兼容,片内置通用8位中央处理器和FLASH存储单元,功能强大,AT89C52单片机适合于许多较为复杂控制应用场合。

主要性能参数:

●与MCS-51产品指令和引脚完全兼容

8K字节可重擦写FLASH闪存存储器

●1000次写/擦循环

●时钟频率:

0Hz—24MHz

●三级加密存储器

●256字节内部RAM

●32个可编程I/O口线

●3个16位定时/计数器

●6个中断源

●可编程串行UART通道图2-2引脚图

●低功耗的空闲和掉电模式

●片内振荡器和时钟电路

AT89C52有40个引脚,32个外部双向输入/输出(I/O)端口,同时内含2个外中断口,3个16位可编程定时计数器,2个全双工串行通信口,2个读写口线,片内振荡器及时钟电路,引脚图见2-2。

AT89C52可以按照常规方法进行编程,也可以在线编程。

同时,AT89C52可降至0Hz的静态逻辑操作,并支持两种软件可选的节电工作模式。

空闲方式停止CPU的工作,但允许RAM,定时/计数器,串行通信口及中断系统继续工作。

掉电方式保存RAM中的内容,但振荡器停止工作并禁止其他所有部件工作直到下一个硬件复位。

其将通用的微处理器和Flash存储器结合在一起,特别是可反复擦写的Flash存储器可有效地降低开发本。

AT89C52有PDIP、PQFP/TQFP及PLCC等三种封装形式,以适应不同产品的需求。

AT89C52引脚功能:

a)Vcc:

电源电压。

b)GND:

地。

c)P0口:

P0口是一组8位漏极开路型双向I/O口,也即地址/数据总线复用口。

作为输出口用时,每位能吸收电流的方式驱动8个TTL逻辑门电路,对端口P0写“1”时,可作为高阻抗输入端用。

在访问外部数据存储器或程序存储器时,这组口线分时转换地址(低8位)和数据总线复用,在访问器件激活内部上拉电阻。

在Flash编程时,P0口接收指令字节,而在程序校验时,输出指令字节,校验时,要求外接上拉电阻。

d)P1口:

P1是一个带内部上拉电阻的8位双向I/O口,P1的输出缓冲级可驱动(吸收或输出电流)4个TTL逻辑门电路。

对端口写“1”,通过内部的上拉电阻把端口拉到高电平,此时可作输入口。

作输入口使用时,因为内部存在上拉电阻,某个引脚被外部信号拉低时会输出一个电流(IIL)。

与AT89C51不同之处是,P1.0和P1.1还可分别作为定时/计数器2的外部计数输入(P1.0/T2)和输入(P1.1/T2EX),参见表2-1。

Flash编程和程序校验期间,P1接收低8位地址。

表2-1为P1.0和P1.1的第二功能

 

表2-1P1.0和P1.1的第二功能

引脚号

功能特性

P1.0

T2(定时\计数器2外部计数脉冲输入),时钟输出

P1.1

T2EX(定时\计数2捕获\重装载触发和方向控制)

 

e)P2口:

P2是一个带有内部上拉电阻的8位双向I/O口,P2的输出缓冲级可驱动(吸收或输出电流)4个TTL逻辑门电路。

对端口P2写“1”,通过内部的上拉电阻把端口拉到高电平,同时可作输入口,作输入口使用时,因为内部存在上拉电阻,某个引脚被外部信号拉低时会输出一个电流(IIL)。

在访问外部程序存储器或16位地址的外部数据存储器(例如执行MOV@DPTR指令)时,P2口送出高8位地址数据。

在访问8位地址的外部数据存储器(如执行MOV@RI指令)时,P2口输出P2锁存器的内容。

Flash编程或校验时,P2亦接收高位地址和一些控制信号。

f)P3口:

P3口时一组带有内部上拉电阻的8位双向I/O口。

P3口输出缓冲级可驱动(吸收或输出电流)4个TTL逻辑门电路。

对P3口写入“1”时,它们被内部上拉电阻拉高并可作为输入端口。

此时,被外部拉低的P3口将用上拉电阻输出电流(IIL)。

P3口作为一般的I/O口线外,更重要的用途是它的第二功能,如表2-2所示:

此外,P3口还接收一些用于Flash闪速存储器编程和程序校验的控制信号。

g)RST:

复位输入。

当振荡器工作时,RST引脚出现两个机器周期以上高电平将使单片机复位。

ALE/

当访问外部程序存储器或数据存储器时,ALE(地址锁存允许)输出脉冲用于锁存地址的低8位字节。

一般情况下,ALE仍以时钟振荡频率的1/6输出固定的脉冲信号,因此它可对外输出时钟或用于定时目的。

要注意的是:

当访问外部数据存储器时将跳过一个ALE脉冲。

 

表2-2P3口第二功能

端口引脚

第二功能

P3.0

RXD(串行输入口)

P3.1

TXD(串行输出口)

P3.2

(外中断0)

P3.3

(外中断1)

P3.4

T0(定时/计数器0)

P3.5

T1(定时/计数器1)

P3.6

(外部数据存储器写选通)

P3.7

(外部数据存储器读选通)

对Flash存储器编程器件,改引脚还用于输入编程脉冲(

)。

如有必要,可通过对特殊功能寄存器(SFR)区中的8EH单元的D0位复位,可禁止ALE操作。

该位置复位后,只有一条MOVX和MOVC指令才能将ALE激活。

此外,该引脚会被微弱拉高,单片机执行外部程序时,应设置ALE禁止位无效。

h)

程序储存允许(

)输出是外部程序存储器的读选通信号,当AT89C52由外部程序存储器取指令(或数据)时,每个机器周期两次

有效,即输出两个脉冲。

在次期间,当访问外部数据存储器,将跳过两次

信号。

i)

/VPP:

外部访问允许。

欲使CPU仅访问外部程序存储器(地址为0000H-FFFH),

端必须保持低电平(接地)。

需要注意的是:

如果加密位LB1被编程,复位时内部会锁存

端状态。

端为高电平(接Vcc端),CPU则执行内部程序存储器中的指令。

Flash存储器编程时,该引脚加上+12V的编程允许电源Vpp,当然这必须是该器件是使用12V编程电压Vpp。

j)XTAL1:

振荡器反相放大器及内部时钟发生器的输入端。

k)XTAL2:

振荡器反相放大器的输出端。

2.3.2单片机最小系统的实现

介绍完以上的单片机系统的核心芯片之后,我们采用AT89C52来实现一个单片机系统能运行起来的需求最小的系统,电路图见图2-3:

 

图2-3单片机最小系统图

上图由晶振电路和复位电路,AT89C52芯片组成,构成最小的单片机系统,

下面详细介绍其中的两个电路。

(1)晶振电路

单片机工作的过程中各指令的微操作在时间上有严格的次序,这种微操作的时间次序称作时序,单片机的时钟信号用来为单片机芯片内部各种微操作提供时间基准,89c52的时钟产生方式有两种,一种是内部时钟方式,一种是外部时钟方式。

内部时钟方式即在单片机的外部接一个晶振电路与单片机里面的振荡器组合作用产生时钟脉冲信号,外部时钟方式是把外部已有的时钟信号引入到单片机内,此方式常用于多片89C52单片机同时工作,以便于各单片机的同步,一般要求外部信号高电平的持续时间大于20ns.且为频率低于12MHz的方波。

对于CHMOS工艺的单片机,外部时钟要由XTAL1端引入,而XTAL2端应悬空。

本系统中为了尽量降低功耗的原则,采用了内部时钟方式。

电路图见图2-4:

 

图2-4晶振电路图

在89C52单片机的内部有一个震荡电路,只要在单片机的XTAL1和XTAL2引脚外接石英晶体(简称晶振)就构成了自激振荡器并在单片机内部产生时钟脉冲信号,图中电容器C1和C2稳定频率和快速起振,电容值在5—30pF,典型值是22pF,晶振CYS选择的是12MHz。

(2)复位电路

①复位的意义

单片机开始工作的时候,必须处于一种确定的状态,否则,不知哪是第一条程序和如何开始运行程序。

端口线电平和输入输出状态不确定可能使外围设备误动作,导致严重事故的发生;内部一些控制寄存器(专用寄存器)内容不确定可能导致定时器溢出、程序尚未开始就要中断及串口乱传向外设发送数据……因此,任何单片机在开始工作前,都必须进行一次复位过程,使单片机处于一种确定的状态。

②复位电路原理

当在89C52单片机的RST引脚引入高电平并保持2个机器周期时,单片机内部就执行复位操作(若该引脚持续保持高电平,单片机就处于循环复位状态)。

实际应用中,复位操作有两种基本形式:

一种是上电复位,另一种是上电与按键均有效的复位,上电复位见图3-5,要求接通电源后,单片机自动实现复位操作。

常用的上电复位电路如下图所示。

上电瞬间RST引脚获得高电平,随着电容C1的充电,RST引脚的高电平将逐渐下降。

 

 

图2-5上电复位电路图

RST引脚的高电平只要能保持足够的时间(2个机器周期),单片机就可以进行复位操作。

该电路典型的电阻和电容参数为:

晶振为12MHz时,C1为22uF:

R1为8.2

;振为6MHz时,C1为22uF,R1为1

本设计中复位电路采用的是开关复位电路,开关S9未按下是上电复位电路,上电复位电路在上电的瞬间,由于电容上的电压不能突变,电容处于充电(导通)状态,故RST脚的电压与VCC相同。

随着电容的充电,RST脚上的电压才慢慢下降。

选择合理的充电常数,就能保证在开关按下时是RST端有两个机器周期以上的高电平从而使AT89C52内部复位。

开关按下时是按键手动复位电路,RST端通过电阻与VCC电源接通,通过电阻的分压就可以实现单片机的复位。

电路图见图2-6:

 

图2-6复位电路图

2.3.3数据采集系统

(1)从传感器过来的电压信号,必须放大,滤波,采集,转换才能被MCU识别和处理。

由于假若每一路都设置放大、滤波等器件,那么成本会很大,所以信号的采集一般用多路模拟通路进行选择。

然而选择多路模拟开关时必须考虑以下的几个因素:

通道数量、切换速度、开关电阻和器件的封装形式。

总之数据采集与硬件的选择有很大的关系。

(2)甲醛传感器的选择

甲醛传感器由甲醛探头CH20传感器组成。

甲醛传感器/甲醛模块(CH2O传感器)详细介绍如下表2-3:

(3)测量电路

测量电路由CH20/S-10甲醛传感器,ADC0832组成。

甲醛传感器由甲醛探头和CH20传感器组成。

当空气被内部的采样系统吸收后,产生一个与甲醛浓度成正比的电压信号,该电压信号经AD0832与AT89C52单片机相连,在显示器上显示出甲醛的浓度值,当超过国家规定的标准时报警。

 

表2-3传感器参数表

名称

甲醛传感器CH2O/S-10:

测量范围

0-10ppm

最大负荷

50ppm

工作寿命

空气中3年

输出

1200±300nA/ppm4-20mA(甲醛模块)

分辨率

0.05ppm

温度范围

-20℃to45℃

压力范围

大气压±10%

响应时间(T90)

〈50seconds

湿度范围

-20℃to45℃

零点输出(纯净空体,20℃)

〈0.1ppm

最大零点漂移(20℃to40℃)

0.1ppm

长期漂移

〈2%/每月

推荐负载值

10Ω

线性度输出

线性

重量

约32克

 

2.3.4模数转换的选择与简介

(1)实现A/D转换的基本方法很多,有计数法、逐次逼近法、双斜积分法和并行转换法。

由于逐次逼近式A/D转换具有速度,分辨率高等优点,而且采用这种方法的ADC芯片成本低,所以我们采用逐次逼近式A/D转换器。

逐次逼近型ADC包括1个比较器、一个模数转换器、1个逐次逼近寄存器(SAR)和1个逻辑控制单元。

逐次逼近型是将采样信号和已知电压不断进行比较,一个时钟周期完成1位转换,依次类推,转换完成后,输出二进制数。

这类型ADC的分辨率和采样速率是相互牵制的。

优点是分辨率低于12位时,价格较低,采样速率也很好。

(2)由于ADC0832模数转换器具有8位分辨率、双通道A/D转换、输入输出电平与TTL/CMOS相兼容、5V电源供电时输入电压在0~5V之间、工作频率为250KHZ、转换时间为32微秒、一般功耗仅为15MW等优点,适合本系统的应用,所以我们采用ADC0832为模数转换器件。

电路图见图2-7如下:

图2-7模数转换电路图

(3)ADC0832具有以下特点:

①8位分辨率;

②双通道A/D转换;

③输入输出电平与TTL/CMOS相兼容;

④5V电源供电时输入电压在0~5V之间;

⑤工作频率为250KHZ,转换时间为32μS;

⑥一般功耗仅为15mW;

  ⑦8P、14P—DIP(双列直插)、PICC多种封装;

  ⑧商用级芯片温宽为0°Cto+70°C,工业级芯片温宽为−40°Cto+85°C;

  芯片接口说明:

  ①CS_片选使能,低电平芯片使能。

  ②CH0模拟输入通道0,或作为IN+/-使用。

  ③CH1模拟输入通道1,或作为IN+/-使用。

  ④GND、芯片参考0电位(地)。

 ⑤DI数据信号输入,选择通道控制。

  ⑥DO数据信号输出,转换数据输出。

  ⑦CLK芯片时钟输入。

  ⑧Vcc/REF电源输入及参考电压输入(复用)。

ADC0832为8位分辨率A/D转换芯片,其最高分辨可达256级,可以适应一般的模拟量转换要求。

其内部电源输入与参考电压的复用,使得芯片的模拟电压输入在0~5V之间。

芯片转换时间仅为32μS,据有双数据输出可作为数据校验,以减少数据误差,转换速度快且稳定性能强。

独立的芯片使能输入,使多器件挂接和处理器控制变的更加方便。

通过DI数据输入端,可以轻易的实现通道功能的选择。

单片机对ADC0832的控制原理:

正常情况下ADC0832与单片机的接口应为4条数据线,分别是CS、CLK、DO、DI。

但由于DO端与DI端在通信时并未同时有效并与单片机的接口是双向的,所以电路设计时可以将DO和DI并联在一根数据线上使用。

当ADC0832未工作时其CS输入端应为高电平,此时芯片禁用,CLK和DO/DI的电平可任意。

当要进行A/D转换时,须先将CS使能端置于低电平并且保持低电平直到转换完全结束。

此时芯片开始转换工作,同时由处理器向芯片时钟输入端CLK输入时钟脉冲,DO/DI端则使用DI端输入通道功能选择的数据信号。

在第1个时钟脉冲的下沉之前D

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 自然科学 > 物理

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2