封装专用英语词汇.docx

上传人:b****7 文档编号:16489653 上传时间:2023-07-14 格式:DOCX 页数:34 大小:701.25KB
下载 相关 举报
封装专用英语词汇.docx_第1页
第1页 / 共34页
封装专用英语词汇.docx_第2页
第2页 / 共34页
封装专用英语词汇.docx_第3页
第3页 / 共34页
封装专用英语词汇.docx_第4页
第4页 / 共34页
封装专用英语词汇.docx_第5页
第5页 / 共34页
封装专用英语词汇.docx_第6页
第6页 / 共34页
封装专用英语词汇.docx_第7页
第7页 / 共34页
封装专用英语词汇.docx_第8页
第8页 / 共34页
封装专用英语词汇.docx_第9页
第9页 / 共34页
封装专用英语词汇.docx_第10页
第10页 / 共34页
封装专用英语词汇.docx_第11页
第11页 / 共34页
封装专用英语词汇.docx_第12页
第12页 / 共34页
封装专用英语词汇.docx_第13页
第13页 / 共34页
封装专用英语词汇.docx_第14页
第14页 / 共34页
封装专用英语词汇.docx_第15页
第15页 / 共34页
封装专用英语词汇.docx_第16页
第16页 / 共34页
封装专用英语词汇.docx_第17页
第17页 / 共34页
封装专用英语词汇.docx_第18页
第18页 / 共34页
封装专用英语词汇.docx_第19页
第19页 / 共34页
封装专用英语词汇.docx_第20页
第20页 / 共34页
亲,该文档总共34页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

封装专用英语词汇.docx

《封装专用英语词汇.docx》由会员分享,可在线阅读,更多相关《封装专用英语词汇.docx(34页珍藏版)》请在冰点文库上搜索。

封装专用英语词汇.docx

封装专用英语词汇

 

封装专用英语词汇

常见封装形式简介

DIP=DualInlinePackage=双列直插封装

HDIP=DualInlinePackagewithHeatSink=带散热片的双列直插封装

SDIP=ShrinkDualInlinePackage=紧缩型双列直插封装

SIP=SingleInlinePackage=单列直插封装

HSIP=SingleInlinePackagewithHeatSink=带散热片的单列直插封装

SOP=SmallOutlinePackage=小外形封装

HSOP=SmallOutlinePackagewithHeatSink=带散热片的小外形封装

eSOP=SmallOutlinePackagewithexposedthermalpad=载体外露于塑封体的小外形封装

SSOP=ShrinkSmallOutlinePackage=紧缩型小外形封装

TSSOP=ThinShrinkSmallOutlinePackage=薄体紧缩型小外形封装

TQPF=ThinProfileQuadFlatPackage=薄型四边引脚扁平封装

PQFP=PlasticQuadFlatPackage=方形扁平封装

LQPF=LowProfileQuadPackage=薄型方形扁平封装

eLQPF=LowProfileQuadFlatPackagewithexposedthermalpad=载体外露于塑封体的薄型方形扁平封装

DFN=DualFlatNon-leadedPackage=双面无引脚扁平封装

QFN=QuadFlatNon-leadedPackage=双面无引脚扁平封装

TO=Transistorpackage=晶体管封装

SOT=SmallOutlineofTransistor=小外形晶体管

BGA=BallGridArray=球栅阵列封装

BQFP=QuadFlatPackageWithBumper=带缓冲垫的四边引脚扁平封装

CAD=ComputerAidedDesign=计算机辅助设计

CBGA=CeramicBallGridArray=陶瓷焊球阵列

CCGA=CeramicColumnGridArray=陶瓷焊柱阵列

CSP=ChipSizePackage=芯片尺寸封装

DFP=DualFlatPackage=双侧引脚扁平封装

DSO=DualSmallOutline=双侧引脚小外形封装

3D=Three-Dimensional=三维

2D=Two-Dimensional=二维

FCB=FlipChipBonding=倒装焊

IC=IntegratedCircuit=集成电路

I/O=Input/Output=输入/输出

LSI=LargeScaleIntegratedCircuit=大规模集成电路

MBGA=MetalBGA=金属基板BGA

MCM=MultichipModule=多芯片组件

MCP=MultichipPackage=多芯片封装

MEMS=MicroelectroMechanicalSystem=微电子机械系统

MFP=MiniFlatPackage=微型扁平封装

MSI=MediumScaleIntegration=中规模集成电路

OLB=OuterLeadBonding=外引脚焊接

PBGA=PlasticBGA=塑封BGA

PC=PersonalComputer=个人计算机

PGA=PinGridArray=针栅阵列

SIP=SystemInaPackage=系统级封装

SOIC=SmallOutlineIntegratedCircuit=小外形封装集成电路

SOJ=SmallOutlineJ-LeadPackage=小外形J形引脚封装

SOP=SmallOutlinePackage=小外形封装

SOP=SystemOnaPackage=系统级封装

WB=WireBonding=引线健合

WLP=WaferLevelPackage=晶圆片级封装

常用文件、表单、报表中英文名称

清除通知单Purgenotice

工程变更申请ECR(EngineeringChangeRequest)

持续改善计划CIP(continuousimprovementplan)

戴尔专案DellProject

收据Receipt

数据表Datasheet

核对表Checklist

文件清单Documentationchecklist

设备清单Equipmentchecklist

调查表,问卷Questionnaire

报名表Entryform

追踪记录表Trackinglog

日报表Dailyreport

周报表Weeklyreport

月报表Monthlyreport

年报表Yearlyreport

年度报表Annualreport

财务报表Financialreport

品质报表Qualityreport

生产报表Productionreport

不良分析报表FAR(Failureanalysisreport)

首件检查报告Firstarticleinspectionreport

初步报告(或预备报告)Preliminaryreport

一份更新报告Anundatedreport

一份总结报告Afinalreport

纠正与改善措施报告(异常报告单)CAR(CorrectiveActionReport)

出货检验报告OutgoingInspectionReport

符合性报告(材质一致性证明)COC(CertificateofCompliance)

稽核报告Auditreport

品质稽核报告Qualityauditreport

制程稽核报告Processauditreport

5S稽核报告5Sauditreport

客户稽核报告Customerauditreport

供应商稽核报告Supplierauditreport

年度稽核报告Annualauditreport

内部稽核报告Internalauditreport

外部稽核报告Externalauditreport

SPC报表(统计制程管制)Statisticalprocesscontrol

工序能力指数(Cpk)Processcapabilityindex

(规格)上限Upperlimit

(规格)下限Lowerlimit

规格上限UpperSpecificationLimit(USL)

规格下限LowerSpecificationLimit(LSL)

上控制限(或管制上限)UpperControlLimit(UCL)

下控制限(或管制下限)LowerControlLimit(LCL)

最大值Maximumvalue

平均值Averagevalue

最小值Minimumvalue

临界值Thresholdvalue/criticalvalue

MRB单(生产异常通知报告)MaterialReviewBoardReport

工艺流程图ProcessFlowDiagram

物料清单(产品结构表/用料结构表)BOM(BillofMaterials)

合格供应商名录AVL(ApprovedVendorList)

异常报告单CAR

工程规范报告通知单(工程变更通知)ECN

TECN

自主点检表SelfCheckList

随件单(流程卡)TravelingCard(RunCard)

压焊图Bondingdiagram

晶圆管制卡Waferinspectioncard

晶圆进料品质异常反馈单FeedbackReportforWaferIncomingQualityProblems

订购单PO(PurchaseOrder)

出货通知单AdvancedShipNotice

送货单/交货单DO(DeliveryOrder)

询价单RFQ(Requestforquotation)

可靠性实验报告ReliabilityMonitorReport

产品报废单PSB

特采控制表CRB

返工单PRB

异常处理行动措施OCAP

减薄:

Wafer   [‘weifə]  n.威化饼干、电子晶片(晶圆薄片)

Grind  [ɡraind] vt.&vi.磨碎;嚼碎n.磨,碾

Crack   [kræk]  vt.&vi. (使…)开裂,破裂n. 裂缝,缝隙

Ink [iŋk] n. 墨水,油墨

Die[dai] vt.&vi. 死亡(芯片)

Dot [dɔt] n. 点,小圆点

Mounting [‘mauntiŋ] n. 装备,衬托纸

Tape [teip] n. 带子;录音磁带;录像带

Size [saiz] n. 大小,尺寸,尺码

Thick [θik] adj.厚的,厚重的

Thickness [‘θiknis]  n. 厚(度),深(度)宽(度)

Position [pə‘ziʃən] n. 方位,位置

Rough [rʌf] adj. 粗糙的;不平的

Fine [fain] adj. 美好的,优秀的,优良的,杰出的

Speed[spi:

d] n. 速度,速率

Spark[spɑ:

k] n. 火花;火星

Out [aut] adv.离开某地,不在里面;(火或灯)熄灭

Grindstone   [‘ɡraindstəun] n. 磨石、砂轮

Mount[maunt] vt.&vi. 装上、配有

Mounter  装配工;安装工;镶嵌工

Mounting [‘mauntiŋ] n. 装备,衬托纸

Magazine  [,mæɡə‘zi:

n]  n. 杂志,期刊,弹药库(传递料盒)

Cassette [kə‘set]n.盒式录音带;盒式录像带

Inspect  [in‘spekt]  vt.检查,检验,视察

Inspection [in‘spekʃən] n. 检查,视察

Card [kɑ:

d] n. 卡,卡片,名片

划片:

Saw [sɔ:

] n. 锯vt.&vi. 锯,往复运动

Sawing  ['sɔ:

iŋ]  n. 锯,锯切,锯开

Film [film]  n. 影片,电影(薄膜,蓝膜)

Frame[freim]  n.  框架,骨架,构架

Clean [kli:

n] adj. 清洁的,干净的;纯净的

Cleaner  [‘kli:

nə] n. 作清洁工作的人或物

Oven [‘ʌvən]  n. 烤箱,炉

Cassette [kə‘set]n. 盒式录音带;盒式录像带

Handler[‘hændlə]n.(物品、商品)的操作者

Scribe [skraib]  n.抄写员,抄书吏

Street n. 大街,街道

Blade [bleid] n. 刀口,刀刃,刀片

Cut [kʌt] vt.&vi. 切,剪,割,削

Speed[spi:

d]n. 速度,速率

Spindle [‘spindl] n. 主轴,(机器的)轴

Size [saiz] n. 大小,尺寸 ,尺码

Cooling ['ku:

liŋ]adj. 冷却(的)

Kerf [kə:

f] n. 锯痕,截口,切口

Width [widθ] n. 宽度,阔度,广度

Chip [tʃip] n. 碎片、缺口

Chipping[‘tʃipiŋ]n. 碎屑,破片

Crack[kræk]vt.(使…)开裂,破裂n.裂缝,缝隙

Missing [‘misiŋ]adj.失掉的,失踪的,找不到的

Die[dai] vt.&vi. 死亡(芯片)

Saw [sɔ:

] n. 锯vt.&vi. 锯,往复运动

Street [stri:

t]n. 大街,街道

Film [film]  n. 影片,电影(薄膜,蓝膜)

Frame[freim]  n.  框架,骨架,构架

Tape [teip] n. 带子;录音磁带;录像带

Bubble  ['bʌbl]n. 泡,水泡,气泡

mount---贴wafer---晶圆 frame---框架blade---刀片

tape---膜cassette---盒子completion---完成loader---上料

un-loader---出料initial---初始化open---打开air---空气

pressure---压力failure---失败vacuum---真空alignment---校准

ink---黑点die---芯片error---错误limit---限制

cover---盖子device---产品data---数据saw---切割

water---水elevator---升降机spindle---主轴sensor---感应器

wheel---轮子setup---测高rotary---旋转check---检查

feed---进给 cutter---切割speed---速度height---高度

new---新shift---轮班pause---暂停clean---清洗 

center---中心chip---崩边 change---变换enter---确认

Offcenter---偏离中心broken---破的alarm---报警

上芯:

Attach [ə‘tætʃ] vt.&vi. 贴上;系;附上

Bond [bɔnd] n. 连接,接合,结合vt. 使粘结,使结合

Bonder [‘bɔndə] n.联接器,接合器,粘合器

Dieattachmaterialepoxy粘片胶

Epoxy  [e‘pɔksi]  n.环氧树脂(导电胶)

Material  [mə‘tiəriəl]  n. 材料,原料

Non-conductiveepoxy绝缘胶

Conductive  [kən‘dʌktiv]  adj.传导的

Dispenser  [dis‘pensə] n. 配药师,药剂师

Nozzle [‘nɔzl] n. 管嘴,喷嘴

Rubber  [‘rʌbə] n. (合成)橡胶,橡皮

Tip [tip] n. 尖端,末端

Diepick-uptool吸嘴

Tool [tu:

l]  n. 工具,用具

Collect [kə‘lekt] vt. 收集,采集(吸嘴)

Ejector [i‘dʒektə] n. 驱逐者,放出器,排出器

Pin [pin] n.针,大头针,别针

LeadFrame引线框架

Lead  [li:

d] vt.&vi. 带路,领路,指引

Frame[freim]  n.  框架,骨架,构架

Magazine [,mæɡə‘zi:

n] n.杂志,期刊(料盒)

Curing [‘kjuəriŋ] n. 塑化,固化,硫化,硬化

Oven [‘ʌvən]n. 烤箱,炉

Scrap  [skræp] n. 小片,碎片,碎屑

Dent   [dent]  n. 凹痕,凹坑

DieLift-off晶粒脱落(芯片脱落,掉芯)

Skew [skju:

]  adj. 歪,偏,斜

Misorientation   [mis,ɔ:

rien‘teiʃən]  n. 定向误差,取向误差

Presqueezedel写胶前气压延时

Postsqueezedel写胶后气压延时

Squeeze  [skwi:

z] vt.榨取,挤出n. 挤,榨,捏

Eject   [i‘dʒekt]  vt.&vi. 弹出,喷出,排出

Delay  [di'lei]  n. 延迟

Height [hait] n. 高度,身高

Level  [‘levl] n. 水平线,水平面;水平高度

Head [hed] n. 头部,领导,首脑

Ejectupdelay顶针延迟

Ejectupheight顶针高度

Bondlevel粘片高度

PickLevel捡拾芯片高度

Headpickdelay粘接头拾取延迟

Headbonddelay粘接头粘接延时

Pickdelay捡拾芯片延时

Bonddelay粘接芯片延时

Index [‘indeks] n. 索引;标志,象征;量度

Clamp [klæmp] vt.&vi. 夹紧;夹住n. 夹具

Indexclampdelay步进夹转换延时

Indexdelay框架步进延时

Shear  [ʃiə]  vt. 剪羊毛,剪n. 大剪刀

Test [test] n. 测验,化验,试验,检验

Diesheartest推晶试验

Thickness ['θiknis] n. 厚(度),粗

Coverage [‘kʌvəridʒ] n. 覆盖范围

Epoxythickness&coverage导电胶厚度和覆盖率

Orientation[,ɔ:

rien‘teiʃən] n. 方向,目标

DieOrientation芯片方向

Void [vɔid]adj. 空的,空虚的n. 太空,宇宙空间;空隙,空处; 空虚感,失落感

Epoxyvoid导电胶空洞

Chip [tʃip] n. 碎片

Damage[‘dæmidʒ] vt.&vi. 损害,毁坏,加害于n.损失,损害,损毁

Chipdamage芯片损伤

Backside [‘bæksaid] n. 臀部,屁股,背面

Chipbacksidedamage芯片背面损伤

Tilt [tilt] vt.&vi. (使)倾斜

Tilteddie芯片歪斜

Epoxyondie芯片粘胶

Crack [kræk] vt.&vi. (使…)开裂,破裂n. 裂缝,缝隙

Crackdie芯片裂缝/芯片裂痕

Lift [lift] vt.&vi. 举起,抬起n. 抬,举

Lifteddie翘芯片

Misplace [,mis‘pleis] vt.  把…放错位置

Misplaceddie设置芯片

NOdieonL/F空粘

Insufficient [,ɪnsə‘fiʃənt] adj. 不足的,不够的

Insufficientepoxy导电胶不足

Epoxycrack导电胶多胶

Epoxycuring银浆烘烤

Edge [edʒ] n.  边,棱,边缘

Partial [‘pɑ:

ʃəl] adj. 部分的,不完全的

Mirror [‘mirə]   n. 镜子

Missing [‘misiŋ]adj. 失掉的,失踪的,找不到的

Edgedie/partialdie边缘片/边沿芯片

Mirrordie光片/镜子芯片

Missingdie掉芯/漏芯/掉片

Splash[splæʃ]vt.使(液体)溅起vi.(液体)溅落

Splatter [‘splætə]vt.&vi. (使某物)溅泼

Diagram[‘daiəɡræm] n. 图解,简图,图表

Inksplash/inksplatter墨溅

Diebondingdiagram上芯图

Dieshesrtest推片实验/推晶试验

Diesheartester推片试验机

Dieshesrtool推片头

Metalcorrosion晶粒腐蚀/芯片腐蚀

Wafermappingsystem芯片分级系统

System ['sistəm]  n. 系统;体系

wafer---晶圆 die---芯片attach---粘贴glue---银胶

substrate---基板 magazine---盒子inspection---检查parameter---参数

manual---操作手册reset---重设enter---确定error---错误

input---输入speed---速度stop---停止pressure---压力

vacuum---真空sensor---传感器backside---背面pin---针

statistics---统计calibration---校正bond---贴片conversion---改机

thickness---厚度tilt---倾斜度shape---形状adjust---调整

contact---接触cover---覆盖device---产品chip---崩边

pause---暂停elevator---升降机initial---初始化alig

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 经管营销

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2