数字电路实验报告完整版.docx

上传人:b****2 文档编号:169682 上传时间:2023-04-28 格式:DOCX 页数:10 大小:192.88KB
下载 相关 举报
数字电路实验报告完整版.docx_第1页
第1页 / 共10页
数字电路实验报告完整版.docx_第2页
第2页 / 共10页
数字电路实验报告完整版.docx_第3页
第3页 / 共10页
数字电路实验报告完整版.docx_第4页
第4页 / 共10页
数字电路实验报告完整版.docx_第5页
第5页 / 共10页
数字电路实验报告完整版.docx_第6页
第6页 / 共10页
数字电路实验报告完整版.docx_第7页
第7页 / 共10页
数字电路实验报告完整版.docx_第8页
第8页 / 共10页
数字电路实验报告完整版.docx_第9页
第9页 / 共10页
数字电路实验报告完整版.docx_第10页
第10页 / 共10页
亲,该文档总共10页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

数字电路实验报告完整版.docx

《数字电路实验报告完整版.docx》由会员分享,可在线阅读,更多相关《数字电路实验报告完整版.docx(10页珍藏版)》请在冰点文库上搜索。

数字电路实验报告完整版.docx

数字电路实验报告完整版

 

数字电子技术实验报告

2015-2016学年第一学期

 

姓名:

陶瑜

学号:

2014111990

班级:

计算机科学与技术三班

座位号:

31

实验时间:

周四下午第二讲

实验指导教师:

龙文杰

 

实验2原理图:

 

实验3代码:

modulety_2014111990_3(codeout,indec);

input[3:

0]indec;

output[6:

0]codeout;

reg[6:

0]codeout;

always@(indec)

begin

case(indec)

4'd0:

codeout=7'b1111110;

4'd1:

codeout=7'b0110000;

4'd2:

codeout=7'b1101101;

4'd3:

codeout=7'b1110001;

4'd4:

codeout=7'b0110011;

4'd5:

codeout=7'b1011011;

4'd6:

codeout=7'b1011111;

4'd7:

codeout=7'b1110000;

4'd8:

codeout=7'b1111111;

4'd9:

codeout=7'b1111011;

default:

codeout=7'bx;

endcase

end

endmodule

 

实验4原理图和波形图:

 

实验5原理图和波形图:

 

实验6原理图和波形图:

 

实验6代码:

1:

计数器

modulejishuqi(d,clk,clr,load,ud,q,cout);

parametern=4;

input[n-1:

0]d;

inputclk,clr,load,ud;

outputreg[n-1:

0]q;

outputcout;

assigncout=(ud&(q==9))|(~ud&(q==0));

always@(posedgeclk,negedgeclr)

if(!

clr)

q<=0;

elseif(load)

q<=d;

elseif(ud)

if(q<9)q<=q+1;

elseq<=0;

else

if(q>0)q<=q-1;

elseq<=9;

endmodule

2:

7段译码器:

moduledecode4_7(a,b,c,d,e,f,g,q);

input[3:

0]q;

outputa,b,c,d,e,f,g;

reg[6:

0]codeout;

always@(q)

begin

case(q)

4'd0:

codeout=7'b1111110;

4'd1:

codeout=7'b0110000;

4'd2:

codeout=7'b1101101;

4'd3:

codeout=7'b1110001;

 

4'd4:

codeout=7'b0110011;

4'd5:

codeout=7'b1011011;

4'd6:

codeout=7'b1011111;

4'd7:

codeout=7'b1110000;

4'd8:

codeout=7'b1111111;

4'd9:

codeout=7'b1111011;

default:

codeout=7'bx;

endcase

end

assign{a,b,c,d,e,f,g}=codeout[6:

0];

endmodule

 

实验7原理图和波形图:

 

实验7代码:

1.分频器

moduledivfreq(clk,out);

inputclk;

outputregout;

reg[12:

0]q5000;

always@(posedgeclk)

begin

if(q5000<=2499)

begin

out<=1;

q5000<=q5000+1;

end

elseif(q5000<4999)

begin

out<=0;

q5000<=q5000+1;

end

else

q5000<=0;

end

endmodule

2.计数器

modulecounter100(set,out,out2,q100);

outputregout2;

inputout;

input[6:

0]set;

outputreg[7:

0]q100;

always@(posedgeout)

begin

if(q100

begin

out2<=1;

 

q100<=q100+1;

end

elseif(q100<99)

begin

out2<=0;

q100<=q100+1;

end

elseq100<=0;

end

endmodule

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 人文社科

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2