《数字式秒表》课程设计.docx

上传人:b****2 文档编号:16970155 上传时间:2023-07-20 格式:DOCX 页数:23 大小:386.51KB
下载 相关 举报
《数字式秒表》课程设计.docx_第1页
第1页 / 共23页
《数字式秒表》课程设计.docx_第2页
第2页 / 共23页
《数字式秒表》课程设计.docx_第3页
第3页 / 共23页
《数字式秒表》课程设计.docx_第4页
第4页 / 共23页
《数字式秒表》课程设计.docx_第5页
第5页 / 共23页
《数字式秒表》课程设计.docx_第6页
第6页 / 共23页
《数字式秒表》课程设计.docx_第7页
第7页 / 共23页
《数字式秒表》课程设计.docx_第8页
第8页 / 共23页
《数字式秒表》课程设计.docx_第9页
第9页 / 共23页
《数字式秒表》课程设计.docx_第10页
第10页 / 共23页
《数字式秒表》课程设计.docx_第11页
第11页 / 共23页
《数字式秒表》课程设计.docx_第12页
第12页 / 共23页
《数字式秒表》课程设计.docx_第13页
第13页 / 共23页
《数字式秒表》课程设计.docx_第14页
第14页 / 共23页
《数字式秒表》课程设计.docx_第15页
第15页 / 共23页
《数字式秒表》课程设计.docx_第16页
第16页 / 共23页
《数字式秒表》课程设计.docx_第17页
第17页 / 共23页
《数字式秒表》课程设计.docx_第18页
第18页 / 共23页
《数字式秒表》课程设计.docx_第19页
第19页 / 共23页
《数字式秒表》课程设计.docx_第20页
第20页 / 共23页
亲,该文档总共23页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

《数字式秒表》课程设计.docx

《《数字式秒表》课程设计.docx》由会员分享,可在线阅读,更多相关《《数字式秒表》课程设计.docx(23页珍藏版)》请在冰点文库上搜索。

《数字式秒表》课程设计.docx

《数字式秒表》课程设计

前言

数字式秒表是一种用数字电路技术实现时、分、秒计时的装置,无机械装置,具有较长的使用寿命,因此得到了广泛的使用,本文介绍了利用中小规模集成电路和半导体器件进行数字式秒表的设计。

本设计中数字秒表的最大计时是99分59.99秒,分辨率为0.01秒,由数码管显示,具有清零、启动计时、暂停及继续计数等控制功能。

在本设计中由六片74LS160构成两个100进制计数器和一个60进制计数器来实现秒表的计数功能。

由于需要比较稳定的信号,我们用555定时器与电阻和电容组成的多谐振荡器产生100Hz的信号,最后在电路中加入了两个控制开关一个控制电路的启动和暂停;另一个控制电路的清零。

二进制数及二进制代码是数字系统中信息的主要表示形式,与,或,非三种基本逻辑运算是逻辑代数的基础,相应的逻辑门成为数字电路中最基本的元件。

数字电路的输入,输出信号为离散数字信号,电路中电子元器件工作在开关状态。

除此之外,由与,或,非门构成的组合逻辑功能器件编码器,译码器,数字分配器,数字选择器,加法器,比较器以及触发器是常用的器件。

与模拟技术相比,数字技术具有很多优点,这也是数字技术取代模拟技术被广泛使用的原因。

在本次设计中,第一章进行了系统概述,对系统所需要的元件及系统组成进行了概述。

第二章为单元电路与分析,我们着重分析了秒信号发生器、消抖电路、消抖电路、译码部分和数码管,对系统各个组成部分进行了细致的论述与方案对比,选出了最佳的系统组成方案。

第三章我们附加了multisim环境下仿真的系统总电路图,仿真结果证明我们的系统设计方案合理,完成了设计的所有要求。

此次课设更是加深了我们对数字技术的理解和认识,使我们对所学知识有了进一步深刻的了解。

 

题目摘要关键词设计要求……………………………………………………………………………….…………3

第一章系统概述…………………………………….…………………………………………………………………….3

第二章单元电路与分析………………………………………………….……………………………………………4

2.1秒信号发生器………………………………………………………………….…………………………………….4

2.1.1555定时器的功能…………………………………………………………..………………….………….4

2.1.2555构成的多谐振荡器………………………………………………….…...……………………...…5

2.1.3多谐振荡器的仿真图………………………………………………….…...…………………......……6

2.2消抖电路………….…………………………………………………………….…..………………………………...6

2.3分、秒、毫秒计数器电路设计……………………………………….………………………………...6

2.3.1选择计数器的方案……………………………………………………………………………………….6

2.3.3计数器最终连线图……………………………………………………………………………………..7

2.4译码部分……………………………………………………………………………………………………………..8

2.4.1译码器的基本原理…………………………………………………………………………………….8

2.4.2方案的提出……………………………………………………………………………………..………...8

2.4.474LS48的功能介绍……………………………………………………………………………………9

2.4.3方案对比与选择………………………………………………………………………………………11

2.5数码管………………………………………………………………………………………………………………..11

2.5.1七段数码管工作原理………………………………………………………………………………….11

2.5.2七段数码管内部结构介绍………………………………………………………………………..12

2.5.3显示器匹配电路图……………………………………………………………………………………13

2.5.4译码器与数码管匹配电路的仿真图…………………………………………………………13

第三章系统综述、总体电路图………………………………………………………………………………13

3.1总电路图…………………………………………………………………………………………………………….14

第四章结束语………………………………………………………………………………………………………….15

4.1总结语…………………………………………………………………………………………………………………15

4.2故障分析……………………………………………………………………………………………………………..15

参考文献………………………………………………………………………………………………………………………16

元器件明细表……………………………………………………………………………………………………….…....16

鸣谢………………………………………………………………………………………………………………………….….17

收获与体会……………………………………………………………………………………………………………..…..17

评语……………………………………………………………………………………………………………………………..19

 

数字式秒表

摘要:

数字式秒表从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

按设计要求,用数码管来做显示器。

题目要求最大记数值为99,59,99,那则需要六个数码管。

要求计数分辨率为0.01秒,那么我们需要相应频率的信号发生器。

选择信号发生器时,有两种方案:

一种是用晶体震荡器,另一种方案是采用集成电路555定时器与电阻和电容组成的多谐振荡器。

其核心部分使用六个74160计数器采用串联方式构成,这种连接方式简单,使用元器件数量少。

CP脉冲是由555定时器构成的多谐振荡器,产生100赫兹脉冲,如果精度要求高,也可采用石英振荡器。

关键词:

计数器,译码器,显示器,555定时器,多谐振荡器

设计要求:

1.秒表最大计时值为99分59.99秒;

2.6位数码管显示,分辨率为0.01秒;

3.具有清零、启动计时、暂停及继续计数等控制功能;

4.控制操作键不超过二个。

一、系统综述

按设计要求,须用数码管来做显示器。

题目要求最大记数值为99.59.99,则需要六个数码管。

要求计数分辨率为0.01秒,那么我们需要相应频率的信号发生器。

选择信号发生器时,有两种方案:

一种是用晶体震荡器,另一种方案是采用集成电路555定时器与电阻和电容组成的多谐振荡器。

其核心部分使用六个74160计数器采用串联方式构成,这种连接方式简单,使用元器件数量少。

由于555定时器的比较器灵敏度较高,输出驱动电流大,功能灵活,再加上电路结构简单,计算比较方便,所以CP脉冲是由555多谐振荡器产生的。

数字式秒表实际上是一个频率(100HZ)进行计数的计数电路。

由于数字式秒表计数的需要,故需要在电路上加一个控制电路,该控制电路清零、启动计时、暂停及继续计数等控制功能,同时100HZ的时间信号必须做到准确稳定。

通常使用石英晶体振荡器电路构成数字钟。

数字电子钟的总体图如图所示。

由图可见,数字电子钟由以下几部分组成:

555振荡器和分频器组成的秒脉冲发生器;防抖开关;秒表控制开关;一百进制秒、分计数器、六十进制秒计数器;以及秒、分的译码显示部分等。

长期在开关状态下工作的高频开关电源容易受高次谐波的干扰,为了抵抗干扰,保持电路的稳定性,我们引入了消抖电路。

消抖原理:

具有锁存功能所致,由两个集成与非门元件构成。

接在机械开关K的后面,防止开关K在打开和闭合时一些假信号串入逻辑电路。

图1-1原理流程图

二、单元电路设计

§2.1秒信号发生器

§2.1.1555定时器的功能

555定时器组成如下:

 

图2-1-1555定时器电路结构图

§2.1.2555构成的多谐振荡器

当接通电源Ucc后,电容C上的初始电压为0,比较器C1、C2输出为1和0,使Uo=1,使放电管T截止,电源通过R1、R2向C冲电。

Uc上升至2Ucc/3时,RS触发器被复位,使Uo=0,T导通,电容C通过R2到地放电,Uc开始下降,当Uc降到Ucc/3时,输出Uo又翻回到1状态,放电管T截止,电容C又开始充电。

如此周而复始,就可在3脚输出矩形波信号。

 

图2-1-2555构成的多谐振荡器电路图

 

图2-1-3555多谐振荡器工作波形

 

§2.1.3多谐振荡器仿真图

根据设计要求,我们需要产生一个频率为100HZ的信号,由于f=1/T,带入可以算出R1=R1=4.8KΩ,在仿真软件上仿真的时候我们可以设置电阻为4.7KΩ,加上一个1KΩ的电位器来调节脉冲信号的精确度。

我们就可以得到一个频率为100HZ的脉冲了

 

图2-1-4555构成多谐振荡器仿真图

§2.2消抖电路

长期在开关状态下工作的高频开关电源容易受高次谐波的干扰,为了抵抗干扰,保持电路的稳定性,我们引入了消抖电路。

消抖原理:

具有锁存功能所致,由两个集成与非门元件构成。

接在机械开关K的后面,防止开关K在打开和闭合时一些假信号串入逻辑电路。

 

图2-2-1消抖开关图

§2.3分、秒、毫秒计数器电路设计

§2.3.1选择计数器的方案

这里我们选择用计数器74LS160芯片,通过乘数法或反馈置数法构成100进制和60进制计数器。

经方案论证,本课程计数器选择方案如下:

●100进制计数器

乘数法:

将两片74LS160计数器直接级联则可得到100进制计数器。

其电路连接如图2-3-1

图2-3-1

●60进制计数器

乘数法:

将一片74LS160设置成六进制计数器,再将其与一片74LS160级联,即可得到一个60进制计数器。

其电路连接如图

图2-3-2

74LS160是十进制计数器,设计一百进制计数器只需将两片74LS160级联即可,而74LS161是十六进制计数器,其一百进制计数器的连接相对而言较复杂。

对于六十进制计数器,从电路图中我们同样可以知道74LS160的连接比74LS161的连接简单,相对而言所需的元器件也少。

综上,我们选择选择了用74LS160计数器。

§2.3.2计数器最终连线图

一百进制和六十进制计数器之间、六十进制和一百进制之间的接法如下图2-3-5所示

图2-3-5

§2.4译码部分

§2.4.1译码器的基本原理

译码部分由译码器完成,译码器是将输入的二进制码转变为特定信号输出的电路。

译码是编码的逆过程。

译码器是一种多输出的组合逻辑电路。

从原理上,它是把N个输入变量变换为它所对应的M个输出状态。

每输入一组二进制代码,在M个输出状态中最多有一个为“1”(其余为“0”)或者有一个为“0”(其余为“1”)。

译码器的输入端数n和输出端数m有如下关系:

2n

m,2n=m时,称为全译码;当2n>m时,称为部分译码。

§2.4.2方案的提出

方案一:

利用7447七段显示译码器

7447七段显示译码器输出为低电平有效,用以驱动共阳极数码管。

逻辑符号见图9,其功能表见表2。

7447有4个BCD码输入端A、B、C和D,其中D为最高有效位,A为最低有效位,它们分别与输出端口中的4位相连。

7447的7个输出引脚a~g直接与LED的相应引脚相连。

当灭灯输入/动态灭灯输出(BI/RBO)开路或为高电平而试灯输入为低电平,则所有输出端都为1,此时数码管显示数字‘日’。

BI/RBO是线与逻辑,作灭灯输入(BI)或动态灭灯(RBO)之用,或者兼为二者之用。

 

图2-4-17447显示译码器

1.要求0—15时,灭灯输入(BI)必须开路或保持高电平,如果不要灭十进制数零,则动态灭灯输入(RBI)必须开路或为高电平。

2.将一低电平直接输入BI端,则不管其他输入为何电平,字形处于熄灭状态。

3.当动态灭灯输入(RBI)和A,B,C,D输入为低电平而试灯输入为高电平时,所有各段输出都为0。

4.当灭灯输入/动态灭灯输出(BI/RBO)开路或为高电平而试灯输入为低电平,则所有输出端都为1。

方案二:

利用74LS48显示译码器

74LS48是BCD-7段译码器/驱动器,输出高电平有效,专用于驱动LED七段共阴极显示数码管。

其管脚功能如图2-4-2所示

 

图2-4-2.74LS48管脚图

§2.4.374LS48功能介绍

74LS48除了有实现7段显示译码器基本功能的输入(DCBA)和输出(Ya~Yg)端外,74LS48还引入了灯测试输入端(LT)和动态灭零输入端(RBI),以及既有输入功能又有输出功能的消隐输入/动态灭零输出(BI/RBO)端。

其功能表如下表2-4-1

74LS48引脚功能表—七段译码驱动器功能表

十进数

或功能

输入

BI/RBO

输出

备注

LT

RBI

DCBA

a

b

c

d

e

f

g

0

H

H

0000

H

1

1

1

1

1

1

0

1

1

H

x

0001

H

0

1

1

0

0

0

0

2

H

x

0010

H

1

1

0

1

1

0

1

3

H

x

0011

H

1

1

1

1

0

0

1

4

H

x

0100

H

0

1

1

0

0

1

1

5

H

x

0101

H

1

0

1

1

0

1

1

6

H

x

0110

H

0

0

1

1

1

1

1

7

H

x

0111

H

1

1

1

0

0

0

0

8

H

x

1000

H

1

1

1

1

1

1

1

9

H

x

1001

H

1

1

1

0

0

1

1

10

H

x

1010

H

0

0

0

1

1

0

1

11

H

x

1011

H

0

0

1

1

0

0

1

12

H

x

1100

H

0

1

0

0

0

1

1

13

H

x

1101

H

1

0

0

1

0

1

1

14

H

x

1110

H

0

0

0

1

1

1

1

15

H

x

1111

H

0

0

0

0

0

0

0

BI

x

x

xxxx

L

0

0

0

0

0

0

0

2

RBI

H

L

0000

L

0

0

0

0

0

0

0

3

LT

L

x

xxxx

H

1

1

1

1

1

1

1

4

由74LS48真值表可获知74LS48所具有的逻辑功能:

(1)7段译码功能(LT=1,RBI=1)

在灯测试输入端(LT)和动态灭零输入端(RBI)都接无效电平时,输入DCBA经74LS48译码,输出高电平有效的7段字符显示器的驱动信号,显示相应字符。

除DCBA=0000外,RBI也可以接低电平,见表2-4-1中1~16行。

(2)灭灯功能(BI=0)

此时BI/RBO端作为输入端,该端输入低电平信号时,表2-4-1倒数第3行,无论LT和RBI输入什么电平信号,不管输入DCBA为什么状态,输出全为“0”,7段显示器熄灭。

该功能主要用于多显示器的动态显示。

(3)灯测试功能(LT=0)

此时BI/RBO端作为输出端,端输入低电平信号时,表2-4-1最后一行,以及DCBA输入无关,输出全为“1”,显示器7个字段都点亮。

该功能用于7段显示器测试,判别是否有损坏的字段。

(4)动态灭零功能(LT=1,RBI=1)

此时BI/RBO端也作为输出端,LT端输入高电平信号,RBI端输入低电平信号,若此时DCBA=0000,表2-4-1倒数第2行,输出全为“0”,显示器熄灭,不显示这个零。

DCBA≠0,则对显示无影响。

该功能主要用于多个7段显示器同时显示时熄灭高位的零。

§2.4.4方案对比与选择

7447七段显示译码器输出为低电平有效,用以驱动共阳极数码管,而74LS48是BCD-7段译码器/驱动器,输出高电平有效,专用于驱动LED七段共阴极显示数码管。

由于74LS48译码器资料较全,为了得到正确的设计结果,我们选用74LS48显示译码器。

§2.5数码管显示

§2.5.1七段数码管工作原理

使用七段数码管(LED)来显示结果,七段数码管有七个发光段,即a.b.c.d.e.f.g,根据设计要求的需要,使用了四个无小数点显示和两个有小数点显示的数码管。

它们分别如图2-5-1和2-5-2。

图2-5-1图2-5-2

数码显示与发光段之间的对应关系如下表2-5-1所示。

BCD码

显示数码

发光管

BCD码

显示数码

发光管

0000

Abcdef

0101

acdfg

0001

bc

0110

cdefg

0010

Abdeg

0111

Abc

0011

Abcdg

1000

Abcdefg

0100

bcfg

1001

Abcfg

表2-5-1

§2.5.2七段数码管内部结构介绍

七段数码管内部由发光二极管构成。

在发光二极管两端加上适当的电压时,就会发光。

发光二极管有两种接法:

即共阴极接法和共阳极接法,如下图2-5-3,2-5-4所示。

 

图2-5-3图2-5-4

§2.5.3显示器匹配电路图

本设计采用共阴数码管与74LS48匹配。

其连接图如图2-5-5所示

图2-5-5

§2.5.4译码器与数码管匹配电路的仿真图

图2-5-6

第三章系统综述、总体电路图

§3.1总电路图

J1控制数字秒表的启动和停止,J2控制数字秒表的清零复位。

开始时把J1J2合上,由555多谐振荡器产生脉冲信号,运行本电路,数字秒表正在计数。

J1打开,计数电路得不到脉冲,整个电路暂停计数。

闭合J1,电路重新获得脉冲信号,开始计数。

当J1开关闭合,把开关J2开关打开,计数电路中的74LS160的得到清零信号,数码管被清零。

我们用两个开关实现了整个电路的清零、启动、计时、暂停及继续计数等控制功能;

闭合J1.J2,电路处于计数状态,当给计数电路9(1001)个脉冲的时候,继续再给一个脉冲,就会产生进位,这样我们用输出BCD码的最高位来触发下一个计数器,这样给电路第十个脉冲以后,电路计数结果就会成“10”,继续给脉冲,到第99个时候,继续给一个脉冲,我们同样用第二个芯片的最高位来触发下一个芯片,也就是用最高位的下降沿来当做下一个芯片的脉冲。

同理,当秒计数需向分计数进位的时候,我们都用最高位的变化来当做下一个芯片的CP信号,这样我们就完成了我们需要的计数。

第四章结束语

§4.1总结语

通过一周半的设计,设计最终完成所有预期功能。

方案和结果都让我们比较满意,完成了所有的设计要求:

1.秒表最大计时值为99分59.99秒;2.6位数码管显示,分辨率为0.01秒;3.具有清零、启动计时、暂停及继续计数等控制功能;4.控制操作键不超过二个。

在这次课题设计中,我们的整体思路主要是参考了老师的意见,然后进行不断的研究与探索而成的。

实现了电路的最简洁,使电路图简单易懂。

防抖开关的使用,使我们的电路更加的稳定,这是我们这次设计中一个比较大的亮点。

另外,闹钟的成功加入是我们设计的另外一个亮点。

但是,在这次设计过程中,我们也遇到不少的麻烦,经过多次反复的检查和排除,最终实现了预期功能。

§4.2故障分析

故障1:

脉冲发生器(555定时器构成的多谐振荡器)没法实现0.01s的脉冲信号。

原因:

参数不对。

排除方法:

利用f=1.43/R1+2R2)C适当的选取定值电阻、电容的大小和可变电阻的最大阻值,其中,外加可调电阻,对其进行左右微调,以提高精度,最大限度的保证输出波形不失真。

故障2:

数码管不显示

原因:

LED数码管接入错误,阴阳极接反,未接入保护电阻。

排除方法:

接入220欧姆的保护电阻。

故障3:

数码管显示后,分进位显示错误,无法正常进位

原因:

对原件74LS160的工作原理理解有误,其应在下降沿,进位

排除方法:

在分秒计数间,即60十进制和100进制之间,加一非门,保证其在下降沿。

故障4:

数码管数字跳动频率不均匀。

原因:

使能输入信号和清零信号的脉冲方波输入波形出现抖动。

排除方法:

在机械开关之后,加一个防抖动开关。

参考文献

[1]林涛主编,数字电子技术基础,北京:

清华大学出版社,2006

[2]林涛主编,模拟电子技术基础,重庆:

重庆大学出版社,2003

[3]吴慎山主编,电子线路设计与实践,北京:

电子工业出版社,2005

[4]蔡忠法.电子技术试验与课程设计.浙江:

浙江大学出版社,2005,65.

[5]赵淑范,王宪伟.电子技术试验与课程设计.北京:

清华大学出版社,2006

元器件明细表

序号

名称

型号参数

数量

备注

1

U1,U2,U3,U4

SEVEN_SEG_DECIMAL_COM_K_RED

4

2

U5,U6

SEVEN_SEG_DECIMAL_COM_K_GREEN

2

3

R1,R3,R5,R6

RPACK7

4

4

R2,R4

RPACK8

2

5

R7,R8

4.7K

2

6

R9

1K

1

7

R10,R11

10K

2

8

U7,U8,U9,U10,U11,U12

74LS48D

6

9

U13,U14,U15,U16,U17,U18

74LS160N

6

10

U21A,U22B

74LS01N

2

11

C1

10nF

1

12

C2

1uF

1

13

A

555

1

14

J1,J2,J3

KEY

3

15

7400N

74LS00N

1

16

7404N

74LS04N

1

鸣谢

数字式秒表课程设计历时一周半,在设计过程当中遇到了各种困难,在老师的悉心指导和队友的团结合作下,我们研究新思路,发现并解决问题。

老师们的耐心辅导,我们铭记于心。

其次还要非常感谢同组的王雅和王晴坤同学一直以来对我的帮助,回顾两周经历的兼程,他们让我学会了独立思考,学会了团队协作,学会了举一反三,这一周半以来的不懈努力,我受益匪浅,再次表示感谢。

最后,我们衷心的感谢长安大学对我们的教育和支持。

收获与体会

一周半的课程设计已经结束,留给我们组印象最深的是:

要设计一个成功的电路,必须要有扎实的知

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 求职职场 > 简历

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2