EDA抢答器课程设计.docx

上传人:b****2 文档编号:17044767 上传时间:2023-07-21 格式:DOCX 页数:17 大小:189.47KB
下载 相关 举报
EDA抢答器课程设计.docx_第1页
第1页 / 共17页
EDA抢答器课程设计.docx_第2页
第2页 / 共17页
EDA抢答器课程设计.docx_第3页
第3页 / 共17页
EDA抢答器课程设计.docx_第4页
第4页 / 共17页
EDA抢答器课程设计.docx_第5页
第5页 / 共17页
EDA抢答器课程设计.docx_第6页
第6页 / 共17页
EDA抢答器课程设计.docx_第7页
第7页 / 共17页
EDA抢答器课程设计.docx_第8页
第8页 / 共17页
EDA抢答器课程设计.docx_第9页
第9页 / 共17页
EDA抢答器课程设计.docx_第10页
第10页 / 共17页
EDA抢答器课程设计.docx_第11页
第11页 / 共17页
EDA抢答器课程设计.docx_第12页
第12页 / 共17页
EDA抢答器课程设计.docx_第13页
第13页 / 共17页
EDA抢答器课程设计.docx_第14页
第14页 / 共17页
EDA抢答器课程设计.docx_第15页
第15页 / 共17页
EDA抢答器课程设计.docx_第16页
第16页 / 共17页
EDA抢答器课程设计.docx_第17页
第17页 / 共17页
亲,该文档总共17页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

EDA抢答器课程设计.docx

《EDA抢答器课程设计.docx》由会员分享,可在线阅读,更多相关《EDA抢答器课程设计.docx(17页珍藏版)》请在冰点文库上搜索。

EDA抢答器课程设计.docx

EDA抢答器课程设计

课程设计报告

课程名称:

EDA技术实例开发教程

报告题目:

抢答器设计

学生姓名:

所在学院:

信息科学与工程学院

专业班级:

电子信息工程1102班

学生学号:

指导教师:

 

二0一四年6月20日

课程设计任务书

报告题目

抢答器设计

完成时间

2周

学生姓名

专业班级

电信1102班

指导教师

职称

讲师

总体设计要求和技术要点

1.任务

采用EDA技术,设计一个4人抢答器。

系统图如下:

2.要求

(1)1个主持键、4个抢答键。

(2)抢答的键号用一个数码管显示(可以采用静态显示)。

(3)抢答的时间用两位数码管显示(可以采用静态显示),精确到0.1s。

(4)主持键按下,4个抢答键才有效,时间从0.0s开始计时。

(5)当时间到8.8s还没人按抢答键,抢答停止,抢答键无效;当主持键再次按下才有效。

(6)在规定时间内抢答键按下时,显示先按下的键号,时间停止,抢答键无效;当主持键再次按下才有效。

(7)必须先进行前仿真,并打印出仿真波形。

 

工作内容及时间进度安排

第17周:

周1---周3:

立题、论证方案设计

周4---周5:

程序设计与调试

第18周:

周1---周3:

硬件调试与仿真测试、撰写课程设计报告

周4---周5:

验收答辩

课程设计成果

1.与设计内容对应的软件程序

2.课程设计总结报告

 

摘要

抢答器作为一种工具,已广泛应用于各种智力和知识竞赛场合。

但抢答器的使用频率较低,且有的要么制作复杂,要么可靠性低。

作为一个单位,如果专门购一台抢答器虽然在经济上可以承受,但每年使用的次数极少,往往因长期存放使(电子器件的)抢答器损坏,再购置的麻烦和及时性就会影响活动的开展,因此设计了本抢答器。

该设计可以分为4个模块进行设计,分别是抢答模块,计数使能模块,计数模块和整合模块。

从外面输入一个1Hz的脉冲,通过分频器分为系统的输入信号clk1和计数器的输入信号clk2、复位清零信号clr、主持人开始按按键main、选手抢答信号k0、k1、k2和k3。

系统的输出信号有:

显示抢答键号信号key_led[3..0]等等。

控制过程为:

系统开始之前先进行复位,主持人按下main键表示抢答开始,然后倒计时电路开始由8.8开始倒计时,此时选手可以开始抢答,若其中一人抢答成功,那么其余各路抢答封锁,同时计时停止,输出抢答成功的人的键号。

若8.8秒计时完了还没人抢答那么所有抢答封锁,要主持人重安main键才能重新开始抢答。

关键词:

抢答器;分频器;计数;按键

 

目录

一、概述1

二、抢答器设计描述和要求1

2.1设计目的2

2.2设计要求4

2.3设计思路和系统图5

三、抢答模块1

3.1抢答模块鉴别的功能2

3.2抢答模块程序与原理图4

四、计数使能模块2

4.1计数使能的功能2

4.2计数使能程序与原理图4

五、计时器模块1

5.1计时器模块的功能2

5.2计时器模块程序与原理图4

六、整合模块6

6.1整合模块的功能2

6.2整合模块程序与原理图4

七、抢答器的硬件测试7

7.1抢答器的引脚锁定2

7.2抢答器的测试结果4

7.3抢答模块仿真图5

八、心得体会7

九、参考文献8

 

1、概述

随着电子技术和计算机技术的飞速发展,电子线路的设计工作也日益显得重要。

经过人工设计、制作实验板、调试再修改的多次循环才定型的传统产品设计方法必然被计算机辅助设计所取代,因为这种费时费力又费资源的设计调试方法既增加了产品开发的成本,又受到实验工作场地及仪器设备的限制。

为了克服上述困难,加拿大InteractiveImageTechnologies公司推出的基于Windows95/98/NT操作系统的EDA软件(ElectronicsWorkbench“电子工作台”,EWB)。

他可以将不同类型的电路组合成混合电路进行仿真。

EWB是用在计算机上作为电子线路设计模拟和仿真的新的软件包,是一个具有很高实用价值的计算机辅助设计工具。

他改变了有些电路仿真软件输入电路采用文本方式的不便之处,该软件在创建电路、选用元器件的测试仪器等均可以直接从屏幕图形中选取,而且测试仪器的图形与实物外形基本相似,从而大大提高了电子设计工作的效率。

此外,从另一角度来看,随着计算机技术和集成电路技术的发展,现代电子与电工设计,已经步入了电子设计自动化(EDA)的时代,采用虚拟仿真的手段对电子产品进行前期工作的调试,已成为一种发展的必然趋势。

通过对实际电子线路的仿真分析,从而提高对电路的分析、设计和创新能力。

2、抢答器设计描述和要求

2.1设计目的

1.综合运用EDA技术,独立完成一个课题设计,考察所学知识,解决实际问题的能力;

2.结合理论知识,考察阅读参考资料、文献、手册的能力;

3.进一步熟悉EDA技术的开发流程,掌握文件编辑、编译、仿真、下载验证等环节的实现方法和应用技巧;

4.锻炼撰写研究报告、研究论文的能力;

5.通过本实践环节,培养科学和严谨的工作作风。

2.2设计要求

1.1个主持键、4个抢答键;

2.抢答的键号用一个数码管显示(可以采用静态显示)。

3.抢答的时间用两位数码管显示(可以采用静态显示),精确到0.1s;

4.当时间到8.8s还没人按抢答键,抢答停止,抢答键无效;当主持键再次按下才有效;

5.在规定时间内抢答键按下时,显示先按下的键号,时间停止,抢答键无效;当主持键再次按下才有效;

6.主持人按下开始抢答键后进入抢答状态,当有一路抢答键按下时,该路抢答信号将其余各路抢答封锁,同时显示抢答人的编号,计时器也同时停止计时。

2.3设计思路和系统图

该设计可以分为4个模块进行设计,分别是抢答模块,计数使能模块,计数模块和整合模块。

从外面输入一个1Hz的脉冲,通过分频器分为系统的输入信号clk1和计数器的输入信号clk2、复位清零信号clr、主持人开始键main、选手抢答信号k0、k1、k2和k3。

系统的输出信号有:

显示抢答键号信号key_led[3..0]等等。

控制过程为:

系统开始之前先进行复位,主持人按下main键表示抢答开始,然后倒计时电路开始由8.8开始倒计时,此时选手可以开始抢答,若其中一人抢答成功,那么其余各路抢答封锁,同时计时停止,输出抢答成功的人的键号。

若8.8秒计时完了还没人抢答那么所有抢答封锁,要主持人重安main键才能重新开始抢答。

系统图如下:

图3-1抢答器系统图

 

图3-2总程序框图

3、抢答模块

3.1抢答模块鉴别的功能

抢答模块是整个设计的中心模块,在这个功能模块中主要包含了抢答信号和程序的顶层文件,是整个设计的基础。

其中抢答部分,主要的功能为抢答和按键号输出led。

抢答模块也可以叫做判断电路,它具有抢答信号的鉴别和锁存功能其电路框图如图8-1所示。

其中clr为复位信号,当该信号高电平有效时,电路无论出于何种状态都恢复为初始状态,及所有的输出信号都为0;key1、key2、key3、key4为抢答按钮,高电平有效。

在每一轮新的抢答之前,都要使用复位清零信号clr,清除上一轮抢答对判断电路留下的使用痕迹,是电路恢复初始状态。

3.2抢答模块程序与原理图

源程序如下:

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entityqdmk1is

port(key1:

instd_logic;--key1-key4是四个抢答键

key2:

instd_logic;

key3:

instd_logic;

key4:

instd_logic;

timexz:

instd_logic;

state:

outstd_logic;

clr:

instd_logic;--清零

key_led:

outstd_logic_vector(3downto0)--抢答成功按键组输出

);

endentityqdmk1;

architectureqdmk1_1ofqdmk1is

signaltmp:

std_logic;

signalled:

std_logic_vector(3downto0);

begin

process(clr,key4,key3,key2,key1,led,tmp,timexz)

begin

ifclr='1'then

led<="0000";

tmp<='1';

state<='0';

elsiftmp='1'andtimexz='1'then

if(key1='0'andkey2='0'andkey3='0'andkey4='1')then

led<="0100";tmp<='0';state<='1';

elsif(key1='0'andkey2='0'andkey3='1'andkey4='0')then

led<="0011";tmp<='0';state<='1';

elsif(key1='0'andkey2='1'andkey3='0'andkey4='0')then

led<="0010";tmp<='0';state<='1';

elsif(key1='1'andkey2='0'andkey3='0'andkey4='0')then

led<="0001";tmp<='0';state<='1';

elsetmp<='1';state<='0';

endif;

endif;

endprocess;

key_led<=led;

endarchitectureqdmk1_1;

图3-1抢答模块图

4、计数模块

4.1计数使能的功能

该模块主要功能为起到计数器的使能端输出(EN)控制和抢答者的键号输出key_led[3..0]。

4.2计数使能程序与原理图

图4-1计数模块图

图4-2计数模块内部结构图

5、计时器模块

5.1计时器模块的功能

该模块的主要功能是计数器的控制和计数输出。

它是利用十进制倒计数芯片74168实现8.8秒倒计时。

5.2计时器模块程序与原理图

图5-1计时器模块图

图5-2计时器模块内结构图

6、整合模块

6.1整合模块的功能

该模块就是整合前三个模块的输出,连成一个完整的结构图。

6.2整合模块程序与原理图

图6-1整合模块模块图

七、抢答器的硬件测试

7.1抢答器的引脚锁定

图6-2引脚锁定图

7.2抢答器的测试结果

7.3抢答模块仿真图

本次设计是用程序和模块图一起来完成的设计,源程序就是上面各个程序的综合,然后结合“设计思路与比较”中的四人抢答器原理图完成功能的测试,其波形图如下所示:

八、心得体会

经历此次考查使我懂得了理论与实际相结合是很重要的,惟有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。

此次考查设计也提高了我们查阅资料和完成方案的能力。

刚选题目时,我们很茫然,不知何从入手,而且准备工作也不足。

只得耐心地查阅各种相关资料,分析题目的侧重点,结合我们团队特点,从而选定了抢答器的设计。

在初步方案的构思中,慎重考虑设计方法,推敲过后才有定策。

仔细阅读搜集的资料,避免不利因素后。

此次课程考查设计让我们明白了团队合作的重要性。

个人的能力、精力总是有限的,专注的领域也是不同的,这时分工合作显得尤其重要。

合理的工作分配,让队员们充分发挥专长,缩短了完成题目的时间,加深了查阅资料的深度,提高了完成作品的效率。

当然,这些也离不开设计方案的集思广益。

而在完成作品的过程中,也加深了队员间的了解。

友谊的氛围中,也使工作轻松起来。

团队合作不是口上说说而已,真正体验后才知那是何等高效的境界。

此次课程设计让我们的知识从理论上升到了应用层次。

书本上我们学到了很多,但是却有点一知半解,而只有自己亲自体验过后才能明白透彻,正掌握。

我们回顾过去的知识,才猛然发现理论与实际是如此的不同。

很多知识在用中不得不考虑实际条件,在这一过程也加深了我们对原有知识的了解。

所以,这是对我们知识的巩固,也使我们温故而知新。

总之,本次课程考查设计使我们综合能力得到大大提高,这是一次难忘的体验,将深深影响我们。

九、参考文献

[1]陈忠平,高金定,高见芳.基于Quartus2的FPGA/CPLD设计与实践[M].电子工业出版社,2010.4。

[2]潘松,黄继业.EDA技术实用教程[M].北京:

科学出版社,2002。

[3]王勇,电子设计自动化实验指导书,电工电子实验教学中心,2009年2月.

[4]谭会生,张昌凡.EDA技术及应用[M].西安:

西安电子科技大学出版社,2006年.

[5]王道宪.VHDL电路设计技术[M].北京:

国防工业出版社,2004.

[6]朱正伟.EDA技术及应用[M].北京:

清华大学出版社,2005年.

[7]潘松,黄继业.EDA技术及应用[M].清华大学出版社,2005年.

[8]潘松,王国栋.VHDL实用教程[M].成都:

电子科技大学出版社,2007.

[9]张凯,林伟.VHDL实例剖析[M].北京:

国防工业出版社,2004.

[10]曾凡泰,陈美金.VHDL程序设计[M].北京:

清华大学出版社,2001.

教师评语及设计成绩

教师评语:

 

 

课程设计成绩:

 

指导教师:

(签名)

日期:

年月日

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 经管营销 > 经济市场

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2