EDA实验讲义.docx

上传人:b****0 文档编号:17135008 上传时间:2023-07-22 格式:DOCX 页数:34 大小:90.58KB
下载 相关 举报
EDA实验讲义.docx_第1页
第1页 / 共34页
EDA实验讲义.docx_第2页
第2页 / 共34页
EDA实验讲义.docx_第3页
第3页 / 共34页
EDA实验讲义.docx_第4页
第4页 / 共34页
EDA实验讲义.docx_第5页
第5页 / 共34页
EDA实验讲义.docx_第6页
第6页 / 共34页
EDA实验讲义.docx_第7页
第7页 / 共34页
EDA实验讲义.docx_第8页
第8页 / 共34页
EDA实验讲义.docx_第9页
第9页 / 共34页
EDA实验讲义.docx_第10页
第10页 / 共34页
EDA实验讲义.docx_第11页
第11页 / 共34页
EDA实验讲义.docx_第12页
第12页 / 共34页
EDA实验讲义.docx_第13页
第13页 / 共34页
EDA实验讲义.docx_第14页
第14页 / 共34页
EDA实验讲义.docx_第15页
第15页 / 共34页
EDA实验讲义.docx_第16页
第16页 / 共34页
EDA实验讲义.docx_第17页
第17页 / 共34页
EDA实验讲义.docx_第18页
第18页 / 共34页
EDA实验讲义.docx_第19页
第19页 / 共34页
EDA实验讲义.docx_第20页
第20页 / 共34页
亲,该文档总共34页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

EDA实验讲义.docx

《EDA实验讲义.docx》由会员分享,可在线阅读,更多相关《EDA实验讲义.docx(34页珍藏版)》请在冰点文库上搜索。

EDA实验讲义.docx

EDA实验讲义

 

《可编程逻辑器件及EDA技术》

实验指导书

杨益、花海安编

《可编程逻辑器件及EDA技术》建设课程小组审

 

安徽建筑工业学院

电子与信息工程学院

EDA技术实验室实验管理

及设备损坏赔偿办法

为使实验教学按时按质的正常运作,特制定以下实验管理及设备损坏赔偿办法:

1.实验课前须将预习报告交教师检查,无预习报告者不得进入实验室进行本次实验,实验成绩按零分计,且不予补做。

2.实验进行前按学号或签到序就位;实验设备仪器等禁止随意搬动,调换应得到实验教师认可后进行。

3.计算机主机及显示器不能正常运行,学生应立即报告老师,不得擅自拆机检修,老师根据具体情况做出相应处理。

4.实验元器件(芯片)原则上人手一片不许更换,如确系元器件质量问题可交由实验教师确认后更换;如系人为违规操作损坏须按价赔偿。

5.实验室内如有耗材(如:

键盘、鼠标和芯片等)损坏,应立即向领导汇报。

6.学生在上机期间,不得修改计算机设置、删除系统软件,违者,视情节轻重,给予相应处罚(如:

重装系统、实验课成绩以零分计和罚款等)。

7.实验时间严格按实验大纲指定课时进行,未完成者不得延长时间且本次实验成绩扣去一定分数。

8.实验结束,应做好实验台面清理、板凳放置及环境卫生工作,由实验教师确认给出成绩后方可离开。

9.实验室窗户、窗帘及其它物品如系人为损坏应按价赔偿。

10.实验环节、实习环节中,相关任课教师应积极配合实验教师,共同承担实验教学、实验管理工作。

 

实验一SE-5型实验箱及MAX+PLUSII软件熟悉

一、实验目的

1.使学生初步熟悉SE-5型EDA实验箱的结构和MAX+PLUSII软件的功能。

2.学习并初步掌握MAX+PLUSII软件的基本操作,为以后的设计打下基础。

二、SE-5型实验箱简介

1.SE-5型EDA实验开发系统采用“主板+下载板”双板式结构,我们选用的是Altera公司的下载板(F10K10)

2.下载板是实验系统的核心,板上配有一片CPLD或FPGA可编程器件,下载板插在主板上,通过一根26芯并行电缆与微机25芯并口相连。

3.主板设有7段LED显示器(动态8位,静态6位)、发光二极管、开关、按键、时钟信号、RS232接口、VGA接口、PS/2接口、60个I/O转接扩展插座、串行A/D转换器TLC549、并行D/A转换器TLC7528、存贮器EEPROM2864,另配有点阵显示实验等。

4.SE-5型EDA实验开发系统可用于多种教学与设计。

(详细内容请参阅附录一)

三、MAX+PLUSII软件简介

1.MAX+PLUSII软件是易学、易用的可编程逻辑器件开发软件,其界面友好,集成化程度高。

2.MAX+PLUSII支持的器件有多种系列,我们实验选用的是Altera公司的EPF10K10LC84-4芯片。

3.软件的设计输入法有图形输入(GDF文件)、文本输入(AHDL语言、VHDL语言等)以及波形输入法和第三方设计输入。

4.用图形设计输入法输入M12的计数器,完成后要进行设计编译、设计校验、器件编程和配置。

5.最后通过下载验证设计结果。

四、实验设备

微机、SE-5型EDA实验箱

五、实验报告要求

1.写出对SE-5型实验箱和EDA开发软件MAX+PLUSII的认识。

2.写出心得体会。

 

实验二数字显示电路

一、实验目的

1.实现十六进制数在数码管闪烁轮换显示。

2.实现十六进制数在数码管稳定轮换显示。

二、实验原理

用数码管除了可以显示0∽9的阿拉伯数字外,还可以根据具体设计来显示显示一些英语字母。

数码管由7段显示输出,利用7个位的组合输出,就可以形成十六进制数的对应显示。

图2-1是数码管的7个段,其中表2-1显示常见的数字与7段显示关系。

图2-17段数码管

表2-1常见的数字与7段显示关系

数字

a

b

c

d

e

f

g

0

1

1

1

1

1

1

0

1

0

1

1

0

0

0

0

2

1

1

0

1

1

0

1

3

1

1

1

1

0

0

0

4

0

1

1

0

0

1

1

5

1

0

1

1

0

1

1

6

1

0

1

1

1

1

1

7

1

1

1

0

0

0

0

8

1

1

1

1

1

1

1

三、实验内容

1.设计一个能在数码管轮换闪烁显示的十六进制计数器电路。

2.设计一个能在数码管轮换稳定显示的十六进制计数器电路。

3.通过仿真或观察波形验证设计电路的正确性。

4.锁定引脚并下载验证结果。

四、设计提示

1.数字轮换显示电路可以采用状态图的方式设计,对于每一个时钟脉冲,将改变一种态。

五、实验报告要求

1.叙述电路工作原理;

2.心得体会。

附:

(参考电路图)

 

实验三计数器电路及设计仿真验证

一、实验目的

1.进一步熟悉SE-5型实验箱和MAX+PLUSII软件。

2.学会对所设计的电路功能模块进行仿真并学会分析结果。

二、实验原理

在MAX+PLUSII软件中,对设计者不但提供了多种设计输入方法,而且也能对其输入电路的功能进行仿真,以波形图的形式来表示其仿真输出结果,以便于分析,验证其逻辑设计的正确与否。

三、实验内容

1.利用图形输入法设计一个模60的计数器并编译通过,结果下载到实验箱上显示。

2.MAX+PLUSII软件的波形编辑模块中设定输入波形参数。

3.运行仿真器,得到结果。

四、实验设备

微机、SE-5型实验箱。

五、实验报告要求

1.画出所设计的模60的计数器的电路图。

2.简述工作原理,画出仿真结果时序波形图并分析。

3.写出对MAX+PLUSII软件的仿真部分的使用体会,注意事项。

附:

(参考电路图)

实验四七人表决器

一、实验目的

1.初步了解VHDL语言。

2.学会用行为描述方式来设计电路。

二、实验原理

用七个开关作为表决器的7个输入变量,输入变量为逻辑“1”时,表示表决者“赞同”;输入变量为逻辑“0”时,表示表决者“不赞同”。

输出逻辑“1”时,表示表决“通过”;输出逻辑“0”时,表示表决“不通过”。

当表决器的七个输入变量中有4个以上(含4个)为“1”时,则表决器输出为“1”;否则为“0”。

七人表决器设计方案很多,比如用多个全加器采用组合电路实现。

用VHDL语言设计七人表决器时,也有多种选择。

常见的VHDL语言描述方式有行为描述、寄存器传输(RTL)描述、结构描述以及这几种描述在一起的混合描述。

我们可以用结构描述的方式用多个全加器来实现电路,也可以用行为描述。

采用行为描述时,可用一变量来表示选举通过的总人数。

当选举人大于或等于4时为通过,绿灯亮;反之不通过时,黄灯亮。

描述时,只须检查每一个输入的状态(通过为“1”,不通过为“0”)并将这些状态值相加,判断状态值和即可选择输出。

三、实验内容

1.用VHDL语言设计上述电路。

2.下载并验证结果。

四、设计提示

1.初次接触VHDL语言应注意语言程序的基本结构,数据类型及运算操作符。

2.了解变量和信号的区别。

3.了解进程内部顺序执行语句及进程外部并行执行语句的区别。

五、实验报告要求

1.写出七人表决器的VHDL语言设计源程序。

2.书写实验报告时要结构合理,层次分明,在分析叙述时注意语言的流畅。

 

实验五BCD码加法器

一、实验目的

1.熟练掌握用VHDL语言的行为描述及构造体描述设计组合电路。

2.初步掌握真值表的设计。

二、实验原理

BCD码是一种二进制代码表达的十进制数。

BCD码与四位二进制代码关系如下表所示,从表中可以看到从0∽9时,BCD码与四位二进制码相同。

从10∽15后,BCD码等于四位二进制加“0110”。

这个关系构成了四位二进制码与BCD码的转换关系,同时也是用四位二进制加法器实现BCD码加法的算法基础。

设计BCD码加法器首先要将两个BCD码输入到二进制加法器相加,得到的和数是一个二进制数,然后通过下表将四位二进制码转换成BCD码,其中BCD码与四位二进制代码关系如表5-1所示。

表5-1BCD码与四位二进制代码关系

十进制数

BCD码

四位二进制

十六进制数

0

00000

00000

0

1

00001

00001

1

2

00010

00010

2

3

00011

00011

3

4

00100

00100

4

5

00101

00101

5

6

00110

00110

6

7

00111

00111

7

8

01000

01000

8

9

01001

01001

9

10

10000

01010

A

11

10001

01011

B

12

10010

01100

C

13

10011

01101

D

14

10100

01110

E

15

10101

01111

F

16

10110

10000

10

17

10111

10001

11

18

11000

10010

12

19

11001

10011

13

20

00000

10100

14

三、实验内容

3.用VHDL语言的行为描述方式设计BCD码加法器,并用仿真文件验证设计正确性。

4.选做题(提高部分)当两数相加大于19时,输出将显示00,并且会闪动(用64Hz频率控制闪动),另外扬声器会报警。

四、设计提示

1.用VHDL语言的构造体描述方式设计时,加“6”校正电路实现真值表的设计。

2.用VHDL语言的行为描述方式设计时,要用条件语言判断两个BCD码数相加后是否大于9,当大于9时,采取加“6”校正。

五、实验报告要求

3.叙述所设计的BCD码加法器电路工作原理。

4.写出用VHDL语言的构造体描述方式设计BCD码加法器的各模块源文件。

5.写出用VHDL语言的行为描述方式设计BCD码加法器的源文件。

6.心得体会。

 

实验六多功能数字钟

一、实验任务及要求

1.能进行正常的时、分、秒计时功能,分别由6个数码管显示24小时、60分钟、60秒钟的计数器显示。

2.能利用实验系统上的按键实现“校时”“校分”功能:

(1)按下“SA”键时,计时器迅速递增,并按24小时循环,计满23小时后在回00;

(2)按下“SB”键时,计分器迅速递增,并按59分钟循环,计满59分钟后在回00;但不向“时”进位;

(3)按下“SC”键时,秒清零;

(4)要求按下“SA”、“SB”或“SC”均不产生数字跳变(“SA”、“SB”、“SC”按键是有抖动的,必须对其消抖动处理)。

3.能利用扬声器做整点报时:

(1)当计时到达59’50’’时开始报时,在59’50’’、52’’、54’’、56’’、58’’鸣叫,鸣叫声频可为500Hz;

(2)到达59’60’’时为最后一声整点报时,整点报时是频率可定为1KHz。

4.用层次化设计方法设计该电路,用VHDL语言编写各个功能模块。

5.时功能、闹时功能用功能仿真的方法验证,可通过观察有关波形确认电路设计是否正确。

6.成电路设计后,用实验系统下载验证。

二、设计说明与提示

系统顶层框图:

 

计数控制

模块

调时

调分时间显示输出

秒清零

报时控制

reset

clk蜂鸣器输出

模块电路功能如下:

1.输出显示由秒计数器、分计数器、时计数器组成。

2.对“SA”、“SB”、“SC”按键是进行消抖动处理,1024hz采样;

3.输入时钟为2hz,用于调时间时时间的变化,经过2分频出1hz信号驱动计时电路;

4.前五声讯响功能报时电路由500Hz驱动,整点报时有1024hz驱动。

5.闹时电路模块也需要500Hz或1KHz音频信号以及来自秒计数器、分计数器和时计数器的输出信号作本电路的输入信号。

三、实验报告要求

1.画出顶层原理图。

2.对照数字钟电路框图分析电路工作原理。

3.写出各功能模块的VHDL语言源文件。

4.叙述各模块的工作原理。

5.说明按键消抖电路的工作原理,画出有关波形图。

6.详述闹时电路的工作原理,绘出详细电路或框图,并写出VHDL语言源文件,并画出有关波形。

7.(选做)考虑如何将闹时设置显示出来,即当选定闹时设置时,数码管将显示闹时时间。

附:

(顶层参考电路图)

 

实验七数字秒表

一、实验任务及要求

1.设计用于体育比赛用的数字秒表,要求

(1)计时精度应大于1/100S,计时器能显示1/100S的时间,提供给计时器内部定时的时钟脉冲频率应大于100Hz,这里选用1kHz。

(2)计时器的最长计时时间为1小时,为此需要一个6位的显示器,显示的最长时间为59分59.99秒。

2.设置有复位和起/停开关

(1)复位开关用来使计时器清零,并作好计时准备。

(2)起/停开关的使用方法与传统的机械式计时器相同,即按一下起/停开关,启动计时器开始计时,再按一下起/停开关计时终止。

(3)复位开关可以在任何情况下使用,即使在计时过程中,只要按一下复位开关,计时进程立刻终止,并对计时器清零。

4.采用VHDL语言用层次化设计方法设计符合上述功能要求的数字秒表。

5.对电路进行功能仿真,通过有关波形确认电路设计是否正确。

6.完成电路全部设计后,通过系统实验箱下载验证设计课题的正确性。

二、设计说明与提示

数字秒框图:

计数电路

计时控制器

显示电路

时基分频器

1m时钟

清零

启动/暂停

显示位

输出

 

显示段

输出

 

图7-1数字秒表框图

1.计时控制器作用是控制计时。

计时控制器的输入信号是启动、暂停和清零。

为符合惯例,将启动和暂停功能设置在同一个按键上,按一次是启动,按第二次是暂停,按第三次是继续。

所以计时控制器共有2个开关输入信号,即启动/暂停和清除。

计时控制器输出信号为计数允许/保持信号和清零信号。

2.计时电路的作用是计时,其输入信号为1kHz时钟、计数允许/保持和清零信号,输出为10ms、100ms、s和min的计时数据。

5.时基分频器是一个10分频器,产生10ms周期的脉冲,用于计时电路时钟信号。

6.显示电路为动态扫描电路,用以显示十分位、min、10s、s、100ms和10ms信号。

程序设计提示

程序设计分为两大模块,control控制模块以及由cdu99和两个cdu90s级联组成的计数模块

三、实验报告要求

1.画出顶层原理图。

2.编写各模块的VHDL语言源文件。

3.叙述电路工作原理,并画出时序波形图。

4.画出消颤电路的原理图,并写出源文件

5.书写实验报告时应结构合理,层次分明,在分析时注意语言的流畅。

 

实验八频率计

一、实验任务及要求

1.设计一个4位十进制频率计,其测量范围为1MHz。

量程分10kHz、100kHz、1MHz、10Mhz四档(最大读数分别为9.999kHz、99.99kHz、999.9kHz、9999khz),量程自动转换规则如下:

(1)当读数大于9999时,频率计处于超量程状态,下一次测量时,量程自动增大一档。

(2)当读数小于0999时,频率计处于欠量程状态。

下一次测量时,量程自动增大一档。

2.显示方式如下:

(1)采用记忆显示方式,即计数过程中不显示数据,待计数过程结束后,显示计数结果,并将此显示结果保持到下一次计数结束。

显示时间应不小于1s。

(2)小数点位置随量程变换自动移位。

3.送入信号应是符合CMOS电路要求的脉冲或正弦波。

4.设计符合上述功能的频率计,并用层次化方法设计该电路。

5.控制器、计数器、锁存器的功能,用功能仿真方法验证,还可通过观察有关波形确认电路设计是否正确。

6.完成电路设计后在实验系统上下载,验证课题的正确性。

二、设计说明与提示

频率计测频原理框图如图8-1所示。

1.信号说明:

clk:

时钟信号(1HZ)

fin:

输入频率信号;最高可测频率为9.999MHZ;

clr:

清零信号;

en:

计数使能信号;

count:

计数输出信号

counts:

计数输出经锁存的信号

led:

显示输出,四个十进制数

choice:

控制量程的信号,00代表10khz档;01代表100khz档;10代表1Mhz档;11代表10Mhz档;

load:

输出锁存;

clk1024:

动态扫描模块的扫描频率

fin

控制

模块

clr

count

en

clkled

choice

量程转换

模块

counts

load

clk1024

图8-1频率计测频原理图

2.模块功能:

控制模块:

每次测量时,用由时基信号产生的闸门信号启动计数器,对输入脉冲信号计数,闸门信号结束即将计数结果送入锁存器,然后计数器清零,准备下一次计数。

计数模块:

计数器为模9999十进制加法计数器,可由4个模10十进计数器级联而成。

并且可由量程选择信号控制模9999计数器的基本频率(比如100khz档的基本频率为10hz,即输入10个脉冲时模9999计数器计1)

量程转换模块:

1)当读数大于9999时,频率计处于超量程状态,此时显示器发出溢出指示(最高位显示F,其余各位不显示数字),下一次测量时,量程自动增大一档。

2)当读数小于0999时,频率计处于欠量程状态。

下一次测量时,量程自动增大一档。

动态扫描模块:

1.带锁存功能。

2.显示电路为四位动态扫描电路,。

三、实验报告要求

1.画出顶层原理图。

2.对照频率计波形图分析电路工作原理。

3.写出各功能模块的VHDL语言源文件。

4.叙述各模块的工作原理。

5.祥述控制器的工作原理,绘出完整的电路或写出VHDL源文件。

6.书写实验报告时应结构合理,层次分明,在分析时注意语言的流畅。

 

 

实验九交通灯控制器

一、实验任务及要求

1.能显示十字路口东西、南北两个方向的红、黄、绿的指示状态

用两组红、黄、绿三色灯作为两个方向的红、黄、绿灯。

变化规律为:

东西绿灯,南北红灯->东西黄灯,南北红灯->东西红灯,南北绿灯->东西红灯,南北黄灯->东西绿灯,南北红灯……依次循环。

2.能实现正常的到计时功能

用两组数码管作为东西和南北方向的允许或通行时间的到计时显示,显示时间为红灯45秒、绿灯40秒、黄灯5秒。

3.能实现紧急状态处理的功能

(1)出现紧急状态(例如消防车,警车执行特殊任务时要优先通行)时,两路上所有车禁止通行,红灯全亮;

(2)显示到计时的两组数码管闪烁;

(3)计数器停止计数并保持在原来的状态;

(4)特殊状态解除后能返回原来状态继续运行。

4.能实现系统复位功能

系统复位后,东西绿灯,南北红灯,东西计时器显示40秒,南北显示45秒。

5.用VHDL语言设计符合上述功能要求的交通灯控制器,并用层次化设计方法设计该电路。

6.控制器、置数器的功能用功能仿真的方法验证,可通过有关波形确认电路设计是否正确。

7.完成电路全部设计后,通过系统实验箱下载验证设计课题的正确性。

二、设计说明与提示

交通灯控制器框图如图9-1所示。

输出

显示

模块

r1,g1,y1

控制模块

stateled1

reset

sub1,sub2

urgen

set1,set2r2,g2,y2

clk

led2

图9–1交通灯控制器电路框图

1.信号说明:

reset:

系统复位;

clk:

计时和闪烁频率;

urgen:

紧急情况信号,高电平代表紧急情况出现;

state:

状态变化信号,00代表东西绿灯,南北红灯;01代表东西黄灯,南北红灯;10代表东西红灯,南北绿灯;11代表东西红灯,南北黄灯;

sub1,sub2:

东西和南北方向的计数器减1信号;

set1,set2:

东西和南北方向的计数器置数信号;

r1,g1,y1:

代表东西方向的红灯,绿灯和黄灯;

led1:

代表东西方向的计时显示;

r2,g2,y2:

代表南北方向的红灯,绿灯和黄灯;

led2:

代表南北方向的计时显示;

2.模块说明:

输出模块:

正常状态下通过控制模块输出的state状态信号,sub减1信号和set置数信号控制东西和南北方向的指示灯和计数显示;紧急状态下通过urgen紧急信号,clk时钟信号处理紧急情况,输出红灯,计数输出值不断闪烁。

控制模块:

通过对时钟的计数控制运行状态的转变,输出相应的状态变化信息、递减信号和置数信号给输出模块进行显示;出现紧急情况时停止计数和状态的变化,解除紧急状态后继续原来的运行状态。

3.模块设计:

说明:

我们记东西方向为1路,南北方向为2路。

交通灯控制器简单流程图如图9-2所示:

N

RA,GB

Y

N

Y

RA,GB

Y

RA,GB

S=1?

S=1?

GA,RB

T=40?

YA,RB

T=5?

S=1?

T=40?

RA,YB

S=1?

T=5?

RA,GB

Y

RA,GB

Y

N

Y

Y

N

N

N

 

N

 

图9–2交通灯控制器简单流程图

三、实验报告要求

1.画出顶层原理图。

2.对照交通灯电路框图分析电路工作原理。

3.写出各功能模块的VHDL语言源文件。

4.叙述各模块的工作原理。

5.述控制器部分的工作原理,绘出详细电路图,写出VHDL语言源文件,画出有关状态机变化。

6.书写实验报告时应结构合理,层次分明,在分析时注意语言的流畅。

其中S为特殊状态,S2为清零信号,A、B分别为计数器A、B。

 

附录一:

第一节下载板

下载板是实验系统的核心。

可插在SE-5实验系统的中央。

下载板通过一根26芯并行电缆与微机25芯并口相连,由开发系统将设计文件编程(俗称下载)到下载板的CPLD/FPGA芯片之中。

为适应不同PLD厂商及不同型号CPLD/FPGA芯片,设计了不同的下载板。

SE-5型EDA实验开发系统现已设计出配套的三种CPLD/FPGA下载板。

1.1三种下载板的主要参数

(1)F1032下载板

板上配有Lattice公司CPLD芯片ispLSI1032E-70LJ84。

ispLSI1032资源:

密度6000门;封装PLCC84;速度70MHz;I/O口:

64个。

EDA开发软件:

Synario3.0、5.0、ispEXPERT7.01。

(2)F10K10下载板

板上配有Altera公司FPGA芯片:

EPF10K10LC84-4。

EPF10K10LC84-4资源:

密度10000门:

封装PLCC84;延

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 经管营销 > 经济市场

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2