EDA课程设计报告.docx

上传人:b****2 文档编号:1846530 上传时间:2023-05-01 格式:DOCX 页数:10 大小:188.69KB
下载 相关 举报
EDA课程设计报告.docx_第1页
第1页 / 共10页
EDA课程设计报告.docx_第2页
第2页 / 共10页
EDA课程设计报告.docx_第3页
第3页 / 共10页
EDA课程设计报告.docx_第4页
第4页 / 共10页
EDA课程设计报告.docx_第5页
第5页 / 共10页
EDA课程设计报告.docx_第6页
第6页 / 共10页
EDA课程设计报告.docx_第7页
第7页 / 共10页
EDA课程设计报告.docx_第8页
第8页 / 共10页
EDA课程设计报告.docx_第9页
第9页 / 共10页
EDA课程设计报告.docx_第10页
第10页 / 共10页
亲,该文档总共10页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

EDA课程设计报告.docx

《EDA课程设计报告.docx》由会员分享,可在线阅读,更多相关《EDA课程设计报告.docx(10页珍藏版)》请在冰点文库上搜索。

EDA课程设计报告.docx

EDA课程设计报告

《EDA》课程设计

题目:

四位加法器设计

学号:

200907064222

姓名:

谢广海

班级:

测控092

指导老师:

赵强松

 

2012年2月26日—2012年3月2日

一、摘要-------------------------------2

二、EDA简介---------------------------2

三、概述----------------------------------3

3.1目的与要求-------------------3

3.2实验前预习-------------------4

3.3设计环境----------------------4

四、四位全加器的设计过程--------5

4.1半加器的设计----------------5

4.2一位全加器的设计----------10

4.3四位全加器的设计----------12

五、收获与心得体会----------------13

一、摘要

本文主要介绍了关于EDA技术的基本概念及应用,EDA设计使用的软件Quartus7.2的基本操作及使用方法,以Altera公司的Quartus7.2为工具软件,采用层次化原理图输入法设计半加器和四位全加器的设计及仿真过程。

二、EDA简介

EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作.EDA是电子设计自动化(ElectronicDesignAutomation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。

EDA代表了当今电子设计技术的最新发展方向,它的基本特征是:

设计人员按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(HDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件,这样的设计方法被称为高层次的电子设计方法。

高层次设计是一种"概念驱动式"设计,设计人员无须通过门级原理图描述电路,而是针对设计目标进行功能描述,由于摆脱了电路细节的束缚,设计人员可以把精力集中于创造性的概念构思与方案上,一旦这些概念构思以高层次描述的形式输入计算机后,EDA系统就能以规则驱动的方式自动完成整个设计。

这样,新的概念得以迅速有效的成为产品,大大缩短了产品的研制周期。

不仅如此,高层次设计只是定义系统的行为特性,可以不涉及实现工艺,在厂家综合库的支持下,利用综合优化工具可以将高层次描述转换成针对某种工艺优化的网表,工艺转化变得轻松容易。

利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在计算机上自动处理完成。

现在对EDA的概念或范畴用得很广。

包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用[1]。

目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。

例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。

本次毕业设计课题实现的核心技术即为EDA相关技术。

三、概述

3.1目的与要求

本实验课程的目的,旨在通过上机实验,使学生加深理解EDA技术的基本方法,帮助和培养学生建立利用原理图和硬件描述语言进行电路设计的基本方法和利用EDA工具软件(MAX+plusⅡ或Quartus7.2)设计简单数字电子系统的能力,为以后从事有关数字电子系统方面的设计和研究开发工作打下基础。

EDA技术是电子设计领域的一场革命,目前正处于高速发展阶段,每年都有新的EDA工具问世,我国EDA技术的应用水平长期落后于发达国家,因此,我们应该尽早掌握这一先进技术,这不仅是提高设计效率的需要,更是我国电子工业在世界市场上生存、竟争与发展的需要。

3.2实验前预习

每次实验前,仔细阅读本实验指导书的相关内容,明确实验目的和实验内容;明确实验原理与步骤;复习与实验内容有关的理论知识;预习仪器设备的使用方法、操作规程及注意事项。

3.3设计环境

QuartusⅡ是Altera公司提供的FPGA/CPLD集成开发软件,Altera是世界上最大的可编程逻辑器件供应商之一。

QuartusⅡ在21世初推出,是Altera全一代FPGA/CPLD集成开发软件MAX+plusII的更新换代产品,其界面友好,使用便捷。

在QuartusⅡ上可以完成设计输入、HDL综合、布新布局(适配)、仿真和选择以及硬件测试等流程,它提供了一种与结构无关的设计环境,使设计者能方便地进行设计输入、开始处理和器件编程。

QuartusⅡ提供了完整的多平台设计环境,能满足各种特定设计的需求,也是单片机可编程系统(SoPC)设计的综合环境和SoPC开发的基本设计工具,并为AlteraDSP开发包进行系统模型设计提供了集成综合环境。

QuartusⅡ设计完全支持VHDL、Verilog的设计流程,其内部嵌有VHDL、Verilog逻辑综合器。

QuartusⅡ与可用利用第三方的综合工具(如LeonardoSpectrum、SynplifyPro、FPGAComplierII),并能直接调用这些工具。

同样QuartusⅡ具备仿真功能,同时支持第三方的仿真工具(如ModelSin)。

此外,QuartusⅡ与MATLAB和DSPBuilder结合,可用进行基于FPAG的DSP系统开发,是DSP硬件系统实现的工具EDA工具。

四、4位全加器的设计实现过程

4.1半加器的设计

1、元件选择

在MAX+plusII工具软件的元件库中已经有与门、或门、与非门和异或门等元件,在设计中可直接调用这些元件,实现电路设计。

图1半加器原理图

在元件选择对话框的符号库“SymbolLibraries”栏目中,用鼠标双击基本元件库文件夹“d:

\maxplus2\max2lib\prim”后,在符号文件“SymbolFiles”栏目中列出了该库的基本元件的元件名,例如and2(二输入端的与门)、xor(异或门)、VCC(电源)、input(输入)和output(输出)等。

在元件选择对话框的符号名“SymbolName”栏目内直接输入xor,或者在“SymbolFiles”栏目中,用鼠标双击“xor”元件名,即可得到异或门的元件符号。

用上述同样的方法也可以得到其他元件符号。

2、编辑半加器的原理图

半加器逻辑电路图如图1所示,它由1个异或门和1个与门构成,a、b是输入端,SO是和输出端,CO是向高位的进位输出端。

在元件选择对话框的符号名“SymbolName”栏目内直接输入xor,或者在“SymbolFiles”栏目中,用鼠标双击“xor”元件名,即可得到异或门的元件符号。

用上述同样的方法也可以得到与门及输入端和输出端的元件符号。

用鼠标双击输入或输出元件中原来的名称,使其变黑后就可以进行名称修改,用这种方法把两个输入端的名称分别更改为“a”和“b”,把两个输出端的名称分别更改为“SO”和“CO”,然后按照图1所示的半加器逻辑电路的连接方式,用鼠标将相应的输入端和输出端及电路内部连线连接好,并以“h_adder.gdf”(注意后缀是.gdf)为文件名,存在自己建立的工程目录d:

\myeda\mygdf内。

进行存盘操作时,系统在弹出的存盘操作对话框中,自动保留了上一次存盘时的文件名和文件目录,不要随意单击“OK”按钮结束存盘,一定要填入正确的文件名并选择正确的工程目录后,才能单击“OK”按钮存盘,这是上机实验时最容易忽略和出错的地方。

3、编译设计图形文件

设计好的图形文件一定要通过MAX+plusII的编译。

在MAX+plusII集成环境下,执行“MAX+plus”菜单下的“Compiler”命令,在弹出的编译对话框中单击“Start”按钮,即可对h_adder.gdf文件进行编译。

在编译中,MAX+plusII自动完成编译网表提取(CompilerNetlistExtractor)、数据库建立(DatabaseBuilder)、逻辑综合(LogicSynthesizer)、逻辑分割(Partitioner)、适配(Fitter)、延时网表提取(TimingSNFExtractor)和编程文件汇编(Assembler)等操作,并检查设计文件是否正确。

存在错误的设计文件是不能将编译过程进行到底的,此时计算机会中断编译,并在编译(Compiler)对话框中指出错误类型和个数。

4、生成元件符号

在MAX+plusII集成环境下,执行“File”菜单下的“CreateDefaultSymbol”命令,将通过编译的GDF文件生成一个元件符号,并保存在工程目录中。

这个元件符号可以被其他图形设计文件调用,实现多层次的系统电路设计。

5、功能仿真设计文件

仿真,也称为模拟(Simulation);是对电路设计的一种间接的检测方法。

对电路设计的逻辑行为和功能进行模拟检测,可以获得许多设计错误及改进方面的信息。

对于大型系统的设计,能进行可靠、快速、全面的仿真尤为重要。

①建立波形文件

进行仿真时需要先建立仿真文件。

在Max+p1usII环境执行“File”的“New”命令,再选择弹出的对话框中的WaveformEditorfi1e项,波形编辑窗口即被打开。

②输入信号节点

在波形编辑方式下,执行“Node”的“NodesfromSNF”命令,弹出输入节点“EnterNodesfromSNF”对话框,在对话框中首先单击“List”按钮,这时在对话框左边的“AvailableNodes&Groups”(可利用的节点与组)框中将列出该设计项目的全部信号节点。

若在仿真中只需要观察部分信号的波形,则首先用鼠标将选中的信号名点黑,然后单击对话框中间的“=>”按钮,选中的信号即进入到对话框右边的“SelectedNodes&Groups”(被选择的节点与组)框中。

如果需要删除“被选择的节点与组”框中的节点信号,也可以用鼠标将其名称点黑,然后单击对话框中间的“<="按钮。

节点信号选择完毕后,单击“OK”按钮即可。

③设置波形参量

在波形编辑对话框中调入了半加器的所有节点信号后,还需要为半加器输入信号a和b设定必要的测试电平等相关的仿真参数。

如果希望能够任意设置输入电平位置或设置输入时钟信号的周期,可以在Options选项中,取消网格对齐SnaptoGrid的选择(取消钩)。

④设定仿真时间宽度

在仿真对话框,默认的仿真时间域是1μS。

如果希望有足够长的时间观察仿真结果,可以选择“File”命令菜单中的“EndTime”选项,在弹出的“EndTime”对证框中,填入适当的仿真时间域(如5μS)即可。

⑤加入输入信号

为输入信号a和b设定测试电平的方法及相关操作如教材图2.1.3所示,利用必要的功能键为a和b加上适当的电平,以便仿真后能测试so和co输出信号。

⑥波形文件存盘

以“h_adder.scf”(注意后缀是.scf)为文件名,存在自己建立的工程目录d:

\myeda\mygdf内。

在波形文件存盘时,系统将本设计电路的波形文件名自动设置为“h_adder.scf”,因此可以直接单击确定按钮。

⑦进行仿真

波形文件存盘后,执行“Max+p1usII”选项中的仿真器“Simulator”命令,单击弹出的“仿真开始”对话框中的“Start”按钮,即可完成对半加器设计电路的仿真,可通过观察仿真波形进行设计电路的功能验证。

半加器波形显示如下图:

半加器波形

4.21位全加器的设计

1、编辑1位全加器的原理图1位全加器可以用两个半加器及一个或门连接而成。

其原理图如图1所示。

图11位全加器原理图

在Quartus7.2图形编辑方式下,在用户目录中找到自己设计的半加器元件h_adder,并把它调入原理图编辑框中(调入两个),另外从d:

\maxplus2\max2lib\prim元件库中调出一个两输入端的或门,并加入相应的输入和输出元件,按照图1所示电路连线,得到1位全加器电路的设计结果。

电路中的a和b是两个1位二进制加数输入,cin是低位来的进位输入,sum是和输出,cout是向高位进位输出。

2、设计文件存盘与编译

完成1位全加器电路原理图的编辑后,以f_adder.gdf为文件名将1位全加器电路原理图设计文件保存在工程目录中,“.gdf”表示图形文件。

进行存盘操作时,系统在弹出的存盘操作对话框中,自动保留了上一次存盘时的文件名和文件目录,操作者不要随意单击“OK”按钮结束存盘,一定要填入正确的文件名并选择正确的工程目录后,才能单击“OK”按钮存盘,这是初学者上机实验时最容易忽略和出错的地方

3、仿真设计文件

在Quartus7.2波形编辑方式下,编辑f_adder.gdf的波形文件,并完成输入信号a、b和cin输入电平的设置。

波形文件编辑结束后也要将波形文件保存在工程目录中,在存盘操作时,系统会自动将当前设计的文件名作为波形文件名,并以.scf为文件类型(例如1位全加器的波形文件是f_adder.scf),所以操作者可以直接单击“OK”按钮结束波形文件的存盘操作。

波形文件存盘后,执行启动仿真器“Simulator”命令开始仿真,可通过观察仿真波形进行设计电路的功能验证。

1位全加器波形如下图

4.34位全加器的设计

4位加法器的设计中,全加器成为底层文件ain[3..0]和bin[3..0]是两个4位二进制输入端,cin是低位来得进位输入端,sum[3..0]是4位和输出端,cout是向高位进位的输出端。

原理图如图2所示。

步骤同1位全加法器。

图24位加法器原理图

4位全加器波形图

五、心得体会

通过这次有关于EDA技术的课程设计的学习与应用,我们基本了解了EDA技术的相关应用,也掌握了EDA设计的相关软件Quartus7.2的最基础的使用方法,丰富了我们的设计手段,也让我了解了更多的仿真方法。

这次的学习开阔了我们的视野,使我们了解了更多的专业方面的实际应用,在生产应用方面的用处等,以及专业方面的发展方向等。

但是在编写顶层文件的程序时,遇到了不少问题,特别是各元件之间的连接,以及信号的定义,总是有错误,在细心的检查下,终于找出了错误和警告,排除困难后,程序编译就通过了,心里终于舒了一口气。

在波形仿真时,也遇到了一点困难,想要的结果不能在波形上得到正确的显示:

在设定输入的时钟信号后,数字秒表开始计数,但是始终看不到秒和小时的循环计数。

后来,在数十次的调试之后,才发现是因为输入的时钟信号对于器件的延迟时间来说太短了。

经过屡次调试,终于找到了比较合适的输入数值:

时钟周期设置在15秒左右比较合适。

在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。

通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。

最后,对给过我帮助和指导老师和同学再次表示忠心的感谢!

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 总结汇报 > 学习总结

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2