第4章补充QuartusII操作-详细.ppt

上传人:wj 文档编号:18627884 上传时间:2023-08-21 格式:PPT 页数:161 大小:8.45MB
下载 相关 举报
第4章补充QuartusII操作-详细.ppt_第1页
第1页 / 共161页
第4章补充QuartusII操作-详细.ppt_第2页
第2页 / 共161页
第4章补充QuartusII操作-详细.ppt_第3页
第3页 / 共161页
第4章补充QuartusII操作-详细.ppt_第4页
第4页 / 共161页
第4章补充QuartusII操作-详细.ppt_第5页
第5页 / 共161页
第4章补充QuartusII操作-详细.ppt_第6页
第6页 / 共161页
第4章补充QuartusII操作-详细.ppt_第7页
第7页 / 共161页
第4章补充QuartusII操作-详细.ppt_第8页
第8页 / 共161页
第4章补充QuartusII操作-详细.ppt_第9页
第9页 / 共161页
第4章补充QuartusII操作-详细.ppt_第10页
第10页 / 共161页
第4章补充QuartusII操作-详细.ppt_第11页
第11页 / 共161页
第4章补充QuartusII操作-详细.ppt_第12页
第12页 / 共161页
第4章补充QuartusII操作-详细.ppt_第13页
第13页 / 共161页
第4章补充QuartusII操作-详细.ppt_第14页
第14页 / 共161页
第4章补充QuartusII操作-详细.ppt_第15页
第15页 / 共161页
第4章补充QuartusII操作-详细.ppt_第16页
第16页 / 共161页
第4章补充QuartusII操作-详细.ppt_第17页
第17页 / 共161页
第4章补充QuartusII操作-详细.ppt_第18页
第18页 / 共161页
第4章补充QuartusII操作-详细.ppt_第19页
第19页 / 共161页
第4章补充QuartusII操作-详细.ppt_第20页
第20页 / 共161页
亲,该文档总共161页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

第4章补充QuartusII操作-详细.ppt

《第4章补充QuartusII操作-详细.ppt》由会员分享,可在线阅读,更多相关《第4章补充QuartusII操作-详细.ppt(161页珍藏版)》请在冰点文库上搜索。

第4章补充QuartusII操作-详细.ppt

1,QuartusII集成开发工具,2,3.1数字系统设计流程3.2常用的PLD设计EDA工具软件3.3Quartus简介3.3设计实例3.5设计输入3.6设计的编译3.7设计的仿真验证3.8时序分析3.9器件编程3.10仿真工具ModelSim3.11Quartus软件使用技巧3.12仿真中应注意的问题,第3章QuartusII集成开发工具,3,3.1数字系统设计流程,一、设计输入二、设计处理三、模拟仿真四、编程下载,内容概要,4,3.1数字系统设计流程,图3-1数字系统设计流程,自上而下的方式,如果仿真结果与预期要求不符,应修改设计,再重新仿真!

5,3.1数字系统设计流程,图3-2用PLD实现数字系统的设计流程,模拟仿真,6,3.1数字系统设计流程,

(1)图形输入(GraphicDesignEntry)图形化、最直接的输入方式采用自顶向下逻辑分块的方法优点:

适合描述连接关系和接口关系;便于进行接口设计和引脚锁定;容易实现仿真,便于观察信号;执行效率高、运行速度快。

缺点:

输入效率较低;不便于描述复杂逻辑功能。

1.设计输入,将设计者所设计的电路以开发软件要求的某种形式表达出来,并输入到软件中。

7,3.1数字系统设计流程,

(2)HDL文本输入优点:

适于描述复杂逻辑功能;便于设计的保存、移植和复用;结果易仿真,便于观察;适于规范、易于语言描述、易于综合、速率较低的电路。

缺点:

依赖于好的综合器;描述连接关系和接口关系不直观。

8,3.1数字系统设计流程,(3)标准EDA设计输入(StandardEDADesignEntry)可直接移植其它EDA工具设计的电路,无须重新输入可接受的网表有EDIF、VHDL、VerilogHDL格式网表输入时,两个系统中采用的库必须一一对应但仿真时信号不易于观测,PLD器件的设计往往采用层次化的设计方法:

将系统划分为几个功能模块;描述系统总功能的模块放置在最上层,称为顶层设计,一般用图形文件表示各子模块间的连接关系和芯片内部逻辑与管脚的接口关系;描述系统最基本功能的模块放置在最下层,称为底层设计,一般用HDL语言描述各子模块的逻辑功能。

网表输入,9,3.1数字系统设计流程,

(1)综合和优化综合:

将模块化设计产生的多个文件合并为一个网表文件,并使设计层次平面化,即将逻辑描述转换成一些基本模块(如触发器、逻辑门等)。

优化:

进行逻辑化简,去除冗余项,尽量减少器件资源的耗用。

(2)器件适配(映射)将设计分为多个适合具体器件内部逻辑资源实现的逻辑小块的形式。

(3)布局和布线布局:

将已分割的逻辑小块放到器件内部逻辑资源的具体位置,使易于连线,且连线最少;布线:

利用器件的布线资源完成各功能块之间和反馈信号之间的连接。

(3)生成编程文件生成供器件编程使用的数据文件编程目标文件。

2.设计处理,从设计输入文件到熔丝图文件(CPLD)或位流文件(FPGA)的编译过程。

10,3.1数字系统设计流程,

(1)功能仿真(前仿真)在设计输入阶段进行,不考虑信号时延的仿真主要验证功能是否正确。

(2)时序仿真(后仿真)在选择了具体器件、并完成了布局布线后进行的含有定时关系的仿真主要验证功能和时序是否正确。

(3)在线测试当器件编程后,利用实验手段在线测试器件的功能和性能指标。

3.模拟仿真,用户可在设计过程的每个阶段对每个模块或整个系统进行模拟仿真。

11,3.1数字系统设计流程,两种编程方式:

在系统编程(ISP,In-SystemProgrammable):

PLD不必从电路板上取下来,通过下载电缆利用计算机直接对器件进行编程,使器件、电路板或整个电子系统的逻辑功能可随时进行修改或重构。

电路板上必须预留编程接口(如JTAG接口)。

专用的编程器编程:

PLD必须从电路板上取下来,插入编程器上的器件插座中,对器件进行编程。

3.编程下载,将设计阶段生成的编程目标文件装入到PLD器件中。

ISP,12,3.3Quartus简介,一、Quartus的设计流程二、Quartus的图形用户界面三、Quartus的图形用户界面功能,内容概要,13,3.3Quartus简介,一、Quartus的设计流程,

(1),

(2),(3),(5),(3),设计要求,设计输入,功能仿真,综合,布局布线,时序分析,时序仿真,编程、配置,设计修改,(6),(7),可以使用Quartus图形用户界面、EDA工具界面或命令行界面!

全编译,14,3.3Quartus简介,二、Quartus的图形用户界面,2Status窗口,3主工作区域,3ChangeManager窗口,1ProjectNavigator窗口,5Messages窗口,6Tclconsole窗口,15,3.3Quartus简介,按F1键,可打开帮助窗口,即时访问对话框上的信息、高亮度的菜单命令或弹出式信息;或按Shift+F1键,鼠标变为一个问号,在图元、宏单元符号等屏幕显示的任何项目上单击鼠标左键,可获得该项目的帮助;或单击工具栏上的帮助按钮,鼠标也会变为一个问号,然后单击想要获得帮助的项目。

16,3.3设计实例,设计要求,首先设计一个半加器,然后用半加器构成全加器。

17,3.3设计实例,设计方法:

1.首先在资源管理器下创建一个工作目录。

2.在QuatusII中创建一个工程,工程名最好与顶层图形文件同名。

3.子模块设计:

每个模块可以用HDL语言描述(模块名最好与程序文件名同名!

),对每个模块进行编译、仿真,通过后然后生成模块符号。

3.顶层设计:

创建一个顶层图形文件,将各模块符号放到图中,添加输入、输出引脚,连线;编译,仿真。

5.给输入、输出引脚分配引脚号码,编程下载。

千万记住哦!

18,3.3设计实例,建议:

初学QuartusII时,不要直接执行“ProcessingStartSimulation”命令,或直接单击工具条上的仿真快捷按钮(因为这样是对已打开且上次刚刚仿真过的文件进行仿真)!

而应执行“ProcessingSimulationTool”命令,打开仿真器工具窗口,在其中设置仿真类型为Timing或Functional,并确认“Simulationinput”栏中显示的是当前需要仿真的波形文件,再单击“Start”,开始仿真。

19,3.3设计实例,(5)仿真通过后,执行“Create/UpdateCreateSymbolFilesforCurrentFile”菜单命令,创建模块符号。

注意:

执行“ViewFitinWindow”命令,可以使波形缩小到窗口大小,以便于观察整个波形!

20,3.3设计实例,将未使用引脚指定为输入:

然后在Device页面中单击“Device&PinOptions”按钮,打开“Device&PinOptions”对话框;,21,3.3设计实例,选择“UnusedPins”标签,在“Reserveallunusedpins”域中选择“Asinputs,tri-stated”单选钮。

不要选其它项!

千万不要将未使用引脚指定为输出,否则无法成功下载设计!

22,3.3设计实例,第5步:

给输入、输出引脚分配引脚号码,编程下载

(1)对顶层图形文件counter_7seg.bdf进行引脚锁定;执行“AssignnmentsAssignmentEditor”菜单命令,参见3.6的四、引脚锁定,信号名,引脚号,23,3.5设计输入,一、创建工程二、图形设计输入方法三、文本输入方法四、建立存储器编辑文件五、创建顶层图形设计文件,内容概要,24,3.5设计输入,QuartusIITextEditor文本输入AHDL,VHDL,VerilogHDLMemoryEditor存储器输入HEX,MIFSchematicDesignEntry图形设计输入3rd-PartyEDATools第3方EDA工具EDIFHDLVQM(VerilogQuartusMapping)Mixing&MatchingDesignFilesAllowed,EDA设计输入方法,25,3.5设计输入,设计输入文件,QuartusIIMemoryEditor,QuartusIITextEditor,Top-leveldesignfilescanbe.bdf,.tdf,.vhd,.vhdl,.v,.vlg,.edifor.edf,BlockFile,SymbolFile,TextFile,TextFile,Importedfromthird-partyEDAtools,MentorGraphics,Synopsys,Synplicity,etc.,GeneratedwithinQuartusII,TextFile,TextFile,.v,.vlg,.vhd,.vhdl,vqm,MegaWizardManager,26,3.5设计输入,一、创建工程1.打开创建工程向导执行菜单命令“FileNewProjectWizard”,打开Introduction对话框。

单击“Next”。

2.选择所建立工程的工作目录,输入工程名称、顶层实体名工程名称可以是任何名字,建议使用和顶层实体名相同的名字。

顶层实体名称必须和顶层文件名字相同!

单击“Next”。

工作目录和工程名不能有空格和汉字!

最好每个工程都有自己的工作目录!

27,3.5设计输入,3.加入设计文件(必要时)

(1)单击Add按钮,从其他工作目录中选择所需要的现成的源文件(只是指向该文件位置);

(2)单击“UserLibraryParameters”按钮,可以加入用户自己定义的库函数:

选择其路径和文件名,单击“Add”加入。

(3)单击“Next”。

第3步也可以略过,以后再创建设计文件,或者以后再用“ProjectAdd/RemoveFilesinProject”命令将其他工作目录中的文件添加到本工程中。

28,3.5设计输入,3.指定第三方对代码进行综合和仿真的工具(需要时)在安装QuartusII软件时,缺省的情况下安装了综合和仿真工具,也可以选择QuartusII软件支持的其它综合或仿真工具。

在弹出的对话框中,选择适当的工具,或者什么也不选,单击“Next”。

29,3.5设计输入,5.指定目标器件类型在“Family”栏中选择“StratixII”,选择“Yes”单选钮;单击“Next”;在下一对话框中选择具体的器件型号,然后单击“Next”。

30,3.5设计输入,6.完成在最后一步中,显示了在前面几步中所做选择的信息。

确认无误后单击“Finish”。

31,3.5设计输入,执行“AssignmentsSettings”菜单命令,弹出“Settings”窗口Files添加和删除文件;UserLibraries添加用户库;Device更改器件系列;EDAToolSettings设置其它EDA工具;TimingAnalyzer定时分析设置Simulator仿真设置:

选择功能仿真或时序仿真,对工程设置进行修改,32,3.5设计输入,二、图形设计输入方法图形设计文件的创建利用库函数LibraryFunction(Block)画原理图逻辑门、触发器、引脚和其它基本单元符号Altera兆功能函数(Megafunction)和LPM(LibraryofParameterizedModules,参数化的模型库)为Verilog、VHDL或AHDL设计文件创建符号使用导线和总线连接所有的图形块(Block)图形编辑器(SchematicEditor)的使用创建简单的测试设计文件来理解Altera的兆功能函数PLL,LVDSI/O,Memory创建顶层图形文件便于查看和连接又称为块编辑器(BlockEditor),可以原理图(Schematic)和结构图(BlockDiagram)形式输入和编辑图形设计信息。

33,3.5设计输入,建立图形设计文件的方法:

1.建立一个新文件执行File-New命令,打开“New”对话框;选择“DeviceDesignFiles”标签下的“BlockDiagram/SchematicFile”;单击OK,打开图形编辑器。

文件名后缀为.bdf,34,3.5设计输入,工作区域,常用快捷按钮,图形编辑器界面,35,3.5设计输入,2.输入图元和宏功能符号在窗口的空白处双击鼠标左键,或单击符号工具按钮,或选择菜单“EditInsertSymbol”,打开“Symbol”对话框;,在“Libraries”栏中单击某单元库前的加号,选择所需图元或符号名;单击“OK”。

若已知图元或符号在库中的名字,可在“Symbol”对话框的“Name”栏中直接键入名称(如input、output)!

36,3.5设计输入,当符号放置好后,单击鼠标右键,选择下拉菜单的Properties项,弹出“SymbolProperties”对话框,可修改符号的属性(如实例名、端口状态、LPM的参数等)。

37,3.5设计输入,Quartus的模块库(在c:

/altera/quartus50/libraries下有3个库):

(1)Megafunctions(兆功能函数):

包含许多可直接使用的参数化模块arithmetic(参数化累加器、乘法器、比较器、计数器、除法器等)embedded_logic(双端口RAM)gates(参数化与门、或门、三态缓冲器、译码器、多路选择器等)IO(各种I/O接口电路模块)storage(参数化D触发器、锁存器、FIFO、ROM等),丰富的设计库(基本逻辑块、73系列器件、特殊的逻辑宏函数、参数化模块),38,3.5设计输入,

(2)others(其他库):

包括与MAX+PLUS兼容的所有中规模器件,如73系列器件及特殊的逻辑宏函数如多路选择器,计数器,加法器,比较器,乘法器(3)Primitives(基本单元符号库):

包含所有的Altera基本图元buffer:

缓冲器logic:

各种扇入的与门、或门等;other:

电源、地等;pin:

input,output,bidirstorage:

D触发器、JK触发器、锁存器等,39,3.5设计输入,3.结构图(BlockDiagram)输入方法,自顶向下的设计方法,blocktest.bdf,40,3.5设计输入,3.使用MegaWizardPlug-InManager进行宏功能模块的实例化Altera提供的宏功能模块:

LPM:

LibraryofParamerterizedModules(如乘法器、累加器);MegaCore(如FFT、FIR等);AMMP(AlteraMegafunctionPartnersProgram),如PCI、DDSMegaWizardPlug-InManager运行一个向导,用于设置参数值和选择端口三种方法:

方法一:

执行“ToolsMegaWizardPlug-InManager”菜单命令;方法二:

在原理图设计文件的Symbol对话框中单击“MegaWizardPlug-InManager”按钮;方法三:

在命令提示符下键入qmegawiz命令。

预先设计好的设计模块,41,3.5设计输入,1)(事先在资源管理器下新建一个文件夹)创建一个新的工程(如pipemult.qpf);2)新建一个原理图文件(如pipemult.bdf);3)使用MegaWizardPlug-InManager构建一个88乘法器:

(1)执行“ToolsMegaWizardPlug-InManager”菜单命令,弹出一对话框;

(2)选择“Createanewcustommegafunctionvariation”选项,单击“Next”按钮,弹出一对话框;(3)在宏功能模块库中选择宏功能模块(LPM_MULT),选择输出文件类型,键入输出文件名(如mult);,方法一操作步骤,42,3.5设计输入,宏功能模块,输出文件类型,输出文件名,43,3.5设计输入,(3)单击“Next”,根据需要,设置宏功能模块的端口和参数:

devicefamily:

StratixIIoutputfiletype:

VerilogHDLoutputfilename:

multdataaportwidth:

8databportwidth:

82stagepipeline(latency)generate.v&.bsffiles,(5)单击“Finish”,完成宏功能模块的实例化。

选中,44,3.5设计输入,3)将刚创建的宏功能模块添加到原理图中

(1)双击原理图文件(如pipemult.bdf)空白处,打开“Symbol”对话框,展开“Project”,选择刚创建的宏功能模块mult,单击“OK”按钮;

(2)移动鼠标,将宏功能模块放置到适当位置。

45,3.5设计输入,5)将其他工作目录中现成的源文件添加到本工程中,执行“ProjectAdd/RemoveFilesinProject”菜单命令,打开Settings对话框,将Lab1中的ram.vhd添加到本工程中。

(1),

(2),(3),(3),或直接调用c:

/libraries/megafunctions/storage中的LPM_RAM_DP,46,3.5设计输入,6)为ram.vhd创建模块符号ram.bsf打开ram.vhd文件,执行“Create/UpdateCreateSymbolFilesforCurrentFile”菜单命令。

7)将模块符号ram.bsf放置到原理图中方法同第3步8)建立完整的原理图设计文件(连线、放置引脚、命名)方法见后面“6.建立完整的原理图设计文件”,47,3.5设计输入,1)调入宏功能模块符号

(1)双击原理图文件空白处,打开“Symbol”对话框;

(2)在“Libraries”中选择“c:

/altera/quartus50/libraries”下的适当路径,单击所需的宏功能模块;(3)单击“OK”,将此宏功能模块放入原理图中。

宏功能模块实例化的另一种方法:

在原理图设计文件的Symbol对话框中选择宏功能函数库,直接设置宏功能模块的参数,48,3.5设计输入,2)设置需要的端口:

双击模块右上角的参数设置框,弹出“SymbolProperties”对话框,在“Ports”标签中选择所需的端口名,将其状态设置为“Used”;不用的端口将其状态设置为“Unused”。

双击参数设置框,则弹出,如何使参数设置框显示出来?

49,3.5设计输入,3)设置需要的参数:

在“Parameters”标签中选择所需的参数名,设置适当的值;单击“确定”。

50,3.5设计输入,5.从设计文件创建模块为设计好的工程文件生成一个模块符号文件(BlockSymbolFiles,.bsf),供高层设计调用

(1)创建符号执行“Create/UpdateCreateSymbolFilesforCurrentFile”菜单命令,51,3.5设计输入,

(2)调用符号在原理图中双击鼠标左键,打开“Symbol”对话框;展开“Project”,选择刚创建的模块符号,单击“OK”按钮;移动鼠标,将模块放置到适当位置。

52,3.5设计输入,(3)编辑符号选中符号,执行“EditEditSelectedSymbol”菜单命令,或右击该符号,选择“EditSelectedSymbol”命令,进入符号编辑界面;调整符号中端口的位置或顺序,或调整符号的大小;单击保存按钮,保存修改后的符号;在图形文件中右击该符号,选择“UpdateSymbolorBlock”命令,更新符号。

需要修改符号时,53,3.5设计输入,6.建立完整的原理图设计文件(连线、放置引脚并命名)

(1)连线包括信号线(NodeLine),总线(BusLine)利用窗口左边的工具箱里的折线按钮。

当需要连接两个端口时,只需将鼠标移动到其中一个端口上,当鼠标变为十字形状时,一直按下鼠标并拖动到另一端口,松开左键即可。

(2)放置输入和输出引脚引脚类型:

输入(INPUT),输出(OUTPUT),双向(Bidir)双击鼠标左键,打开“Symbol”对话框,在“Name”框中键入“INPUT”(或“OUTPUT”),选择“OK”。

或在primitive的pin库中选择。

54,3.5设计输入,(3)为引线和引脚命名为引线命名:

单击引线,键入节点名。

注:

对于n位总线,可采用An-1.0的形式命名,如data7.0,为引脚命名:

在引脚的PIN_NAME处双击鼠标左键,此时PIN_NAME反白显示,键入引脚名,回车,则鼠标指针直接跳到下一个引脚,可继续为其他引脚命名。

注:

输入引脚和输出引脚不仅可以是单一的信号,也可表示一组总线,只需将引脚的名字命名为总线名,如d7.0即可。

55,3.5设计输入,7.保存设计文件用FileSaveAs命令,8.设置图纸大小和方向用FilePageSetup命令(大小可选择3x5in或A3、A3Long、A5、A6、B5、B6、C5、DL等),将设计文件添加到当前工程中,56,3.6设计的编译,一、Quartus的编译器的功能二、编译的步骤三、在底层图编辑器中观察或调整适配结果四、引脚锁定,内容概要,57,3.6设计的编译,一、Quartus的编译器的功能编译器既能接受多种输入文件格式,又能输出多种文件格式。

输入文件图形文件(.bdf);文本文件,如:

VerilolgHDL文件(.v),AHDL文件(.tdf),VHDL文件(.vhd);波形输入文件(.wdf)第三方EDA工具输入文件,如EDIF文件(.edf),库映射文件(.lmf),OrCAD文件(.sch),Xilinx文件(.xnf),VQM文件(.vqm)输出文件设计校验文件,如模拟器网表文件(.snf),第三方EDA工具(如Modelsim)所用的网表文件(.vo,.vho)编程目标文件,如MAX系列(CPLD)的.pof文件,FLEX10K、ACEX1K、Cyclone、Stratix系列FPGA的.sof文件,SimulatorNetlistFile,ProgrammableObjectFile,SRAMObjectFile,58,3.6设计的编译,处理与设计项目有关的所有设计文件。

检查句法错误及普通易犯的设计错误。

进行逻辑综合(选择合适的逻辑化简算法,去除冗余逻辑)。

布局布线。

为仿真和定时分析产生输出文件(.snf或.vo,.vho)。

为编程目标器件产生输出文件(.pof或.sof)。

编译器的功能,注:

编程文件名与工程名同名;编程文件名不一定同顶层设计文件名;一个工程只有一个编程文件。

59,3.6设计的编译,表3-1Quartus编译器功能模块描述,60,3.6设计的编译,二、编译的步骤1.打开要编译的工程用“FileOpenProject”或“FileRecentProjects”命令,2.打开编译器窗口执行“ToolsCompilerTool”命令,开始全编译,开始分析和综合,必须先指定要编译的文件为顶层实体!

61,3.6设计的编译,3.编译器选项设置用以控制编译过程:

指定目标器件系列、CompilationProcess设置、Analysis&Synthesis设置、Fitter设置等所有选项通过Settings对话框进行设置。

打开Settings对话框的两种方法:

方法一:

执行“AssignmentsSettings”命令;方法二:

单击工具条上的Settings按钮。

62,3.6设计的编译,Settings对话框的Device页面,指定未使用引脚的状态,63,3.6设计的

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > PPT模板 > 商务科技

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2