基于FPGA的自动售货机设计文档格式.docx

上传人:b****2 文档编号:224394 上传时间:2023-04-28 格式:DOCX 页数:26 大小:2.60MB
下载 相关 举报
基于FPGA的自动售货机设计文档格式.docx_第1页
第1页 / 共26页
基于FPGA的自动售货机设计文档格式.docx_第2页
第2页 / 共26页
基于FPGA的自动售货机设计文档格式.docx_第3页
第3页 / 共26页
基于FPGA的自动售货机设计文档格式.docx_第4页
第4页 / 共26页
基于FPGA的自动售货机设计文档格式.docx_第5页
第5页 / 共26页
基于FPGA的自动售货机设计文档格式.docx_第6页
第6页 / 共26页
基于FPGA的自动售货机设计文档格式.docx_第7页
第7页 / 共26页
基于FPGA的自动售货机设计文档格式.docx_第8页
第8页 / 共26页
基于FPGA的自动售货机设计文档格式.docx_第9页
第9页 / 共26页
基于FPGA的自动售货机设计文档格式.docx_第10页
第10页 / 共26页
基于FPGA的自动售货机设计文档格式.docx_第11页
第11页 / 共26页
基于FPGA的自动售货机设计文档格式.docx_第12页
第12页 / 共26页
基于FPGA的自动售货机设计文档格式.docx_第13页
第13页 / 共26页
基于FPGA的自动售货机设计文档格式.docx_第14页
第14页 / 共26页
基于FPGA的自动售货机设计文档格式.docx_第15页
第15页 / 共26页
基于FPGA的自动售货机设计文档格式.docx_第16页
第16页 / 共26页
基于FPGA的自动售货机设计文档格式.docx_第17页
第17页 / 共26页
基于FPGA的自动售货机设计文档格式.docx_第18页
第18页 / 共26页
基于FPGA的自动售货机设计文档格式.docx_第19页
第19页 / 共26页
基于FPGA的自动售货机设计文档格式.docx_第20页
第20页 / 共26页
亲,该文档总共26页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

基于FPGA的自动售货机设计文档格式.docx

《基于FPGA的自动售货机设计文档格式.docx》由会员分享,可在线阅读,更多相关《基于FPGA的自动售货机设计文档格式.docx(26页珍藏版)》请在冰点文库上搜索。

基于FPGA的自动售货机设计文档格式.docx

4.2.4I/O端口引出电路.....................................................................................8

4.3外围电路.................................................................................................................9

4.3.1开关按键电路............................................................................................9

4.3.2显示模块电路设计..................................................................................10

4.3.3外围电路端口电路.....................................................................................11

4.4硬件电路的总原理图和PCB图..........................................................................12

4.4.1FPGA芯片主模块总原理图和PCB图......................................................12

4.4.2外围电路总原理图和PCB图...................................................................12

第五章硬件电路的调试....................................................................................................13

5.1电路板的测试......................................................................................................13

I

5.1.1外围电路测试..........................................................................................13

5.1.2FPGA主模块电路板测试.........................................................................14

5.2程序调试...............................................................................................................15

结论......................................................................................................................................19

参考文献..............................................................................................................................20

附录......................................................................................................................................21

致谢......................................................................................................................................23

II

摘要

在当今国内外电子科技高速发展的今天,FPGA已经成为当今电子设计的一个主流。

FPGA利用它的现场可编程特性,将原来的电路板级产品集成为芯片级产品,缩小体积,缩短系统研制周期,方便系统升级,具有容量大、逻辑功能强,而且兼有高速、高可靠性的优点。

因此,本课题的设计将采用FPGA芯片作自动售货机的控制核心,并通过搭建外围电路模拟自动售货机的功能。

本文将在硬件电路的设计与制作方面作详细的介绍:

从开始对电路设计的构思,到原理图的设计和PCB图绘制,再到电路板的制作,最后到实物的调试以及结合软件进行自动售货机的功能模拟。

关键词

FPGA、自动售货机、硬件设计

III

ABSTRACT

Keyword

FPGA;

Vendingmachines;

hardwaredesign

IV

第一章引言

随着科技的发展及人们生活水平的提高,自动售货机已经逐渐走进了我们的生活。

自动售货机市场的发展呈现出多元化及个性化的需求,通过自动售货机进行自助购物正逐渐成为市民的一种新的消费时尚和追求高品质生活的需要。

1.1自动售货机的简介 

自动售货机(VendingMachine,VEM)是能根据投入的钱币自动付货的机器。

自动售货机是商业自动化的常用设备,它不受时间、地点的限制,能节省人力、方便交易。

是一种全新的商业零售形式,又被称为24小时营业的微型超市。

能分为三种:

饮料自动售货机、食品自动售货机、综合自动售货机。

1.2本课题研究的背景及意义

自动售货机的出现给人们的生活带来了极大的便利,但同时也对其提出了很多要求。

而且,随着嵌入式系统技术、多媒体技术和互联网技术的应用,自动售货机也将朝着娱乐化、智能化、信息化方向发展。

传统的单一售货功能的自动售货机已经满足不了人们的需求。

这对自动售货机内部主控中心的设计带来了新的挑战。

自动售货机内部控制中心的实现方式有多种。

传统的自动售货机控制系统采用单片机作为控制核心,虽然电路及程序设计比较灵活,但需要在输入输出接口上做大量的工作,不易于扩展,系统的可靠性及抗干扰性比较差。

采用可编程控制器(PLC)设计自动售货机控制系统,可靠性高,易于性能扩展,但编程不灵活,程序设计难度大。

EDA技术是现代电子领域的一门新技术,利用灵活的硬件描述语言及功能强大的EDA工具可以完成复杂数字系统的设计。

利用VHDL硬件描述语言和FPGA设计自动售货机的主控系统相比传统的设计方式有着很大的优越性。

其主要特点就是完全由用户通过软件可以反复进行配置和编程,完成用户所需要的特定功能,缩短了系统设计的周期,提高了实现的灵活性并降低了成本,而且可以很容易进行功能扩展。

在当今人们对自动售货机功能需求越来越丰富,利用FPGA设计其主控系统相比传统的设计方式有着很大的优势,这将会给自动售货机的发展带来很大的促进作用。

1.3设计方案

本课题将使用FPGA来设计自动售货机的内部控制系统,并通过搭建外围电路来模拟自动售货机的功能。

1

第二章FPGA自动售货机系统设计

本章首先介绍了自动售货机的工作原理,并通过自动售货机的工作原理拟定自动售货机的FPGA实现方案。

2.1自动售货机的工作原理

自动售货机作为一种完全独立的售货设备,集接收货币、顾客自选商品、售出货物和找零等功能于一体。

在顾客通过输入器件选择好要买的商品并投币后,售货机的主控系统会根据商品的现有数目和单价做出计算,若所投币的币值大于商品的单价且数量有,售货机会自动出货并找回余额,若不满足则会发出相应的警报,直至一次交易完成。

2.2自动售货机的系统框图设计

根据自动售货机的工作原理,本课题设计的自动售货机将采用FPGA芯片作为内部的控制中心,并通过搭建外围扩展电路来模拟自动售货机的具体功能,设计的系统框图如下图2-1所示:

图2-1自动售货机的系统框图

2

如上图2-1所示,整个自动售货机系统分成两部分:

软件部分和硬件部分。

软件部分主要是FPGA芯片内部程序的编写,这一部分主要由负责软件部分的同学来完成,本文不再一一赘述。

接下来是硬件部分,主要是具体电路的设计与制作,图中电源电路、时钟信号电路和下载电路是FPGA芯片能正常工作必要组成部分,而扩展电路是用来模拟实现自动售货机功能的。

本人主要负责硬件部分的设计与制作,具体将在后续几章内介绍。

2.3具体的实施方案

本文所设计的自动售货机可以出售4种商品,售价分别为:

1元、2元、3元、3.5元。

购买者可以通过商品选择按键选择标价中的商品,这时选中商品的单价和数量将由两个数码管显示(单价以0.5元为单位),且每次只能购买一件商品。

此售货机可以识别1元和0.5元两种硬币。

顾客可以通过系统设置的两个不同按键分别代替执行投入0.5元和1元的操作,系统设置一个数码管来显示投入钱币的数量(以0.5元为单位)。

如果投入金额总值等于或大于售价,并按下确认按键,系统会自动输出商品,用一个LED灯指示,若还有余额系统将自动找零,用数码管显示(以0.5元为单位)。

如果金额不足或放弃购买,则可以按下取消键,系统会无条件退币。

本文设计采用50MHZ的系统时钟信号来控制整个买卖行为。

目标:

通过实物,能正确模拟整个交易过程。

3

[3]

第三章芯片的选择和介绍

3.1芯片选择

FPGA芯片的选择要考虑很多的因素,最重要的芯片内部的逻辑单元要能满足设计的所需要求。

通过对市场上主流FPGA芯片了解,并考虑到开发工具和成本最终我们选择了Altera公司的生产的CycloneⅡ系列器件中的EP2C5T144C8N这个型号的芯片。

3.2CycloneⅡ系列器件概述

CycloneⅡ系列芯片是Altera公司于2004年推出的继Cyclone之后又一低成本系列FPGA,平衡了逻辑、存储器、锁相环(PLL)和高级I/O接口,是价格敏感应用的最佳选择。

CycloneⅡ器件的制造基于300mm晶圆,采用TSMC90nm、低K值电介质工艺。

CycloneⅡ系列器件各型号性能如下表3-1所示:

特性

EP2C5

EP2C8

EP2C20

EP2C35

EP2C50

EP2C70

逻辑单元

(LE)

4,608

8,256

18,752

33,216

50,528

68,416

M4KRAM块

26

36

52

105

129

250

RAM总量

119,808

165,888

239,616

483,840

594,432

1,152,000

嵌入式乘法器

(18x18)

13

18

35

86

150

锁相环

(PLL)

4

最大可用

I/O管脚

142

182

315

475

450

622

表3-1Cyclone系列芯片性能

EP2C5T144C8N芯片属于EP2C5家族,由上表可知片内有4608个逻辑单元,RAM总量是119808,有144个管脚,最大I/O有89个,足以满足本课题的设计需求。

3.3CycloneⅡ系列器件的下载设计

CycloneⅡ系列芯片的烧写方法有多种:

常见的有JTAG模式、PS模式、AS模式等。

下面先介绍本课题中所用到的JTAG模式下载。

JTAG接口是一个业界标准,主要用于芯片测试等功能,使用IEEEstd1149.1联合边界扫描接口引脚,支持JAMSTAPL标准,可以

使用Altera下载电缆或主控器完成,本课题使用的是Altera的USBBlaster专用的FPGA下载电缆。

由芯片的数据手册可知JTAG下载电路原理图如下图3-1所示:

图3-1JTAG下载电路原理图

(1)上拉电阻应该连接在同下载线同一个电源上

(2)管脚nCONFIG、MSELO和MSEL1应该连接到支持非JTAG配置模式下,如果电路中仅有JTAG配置模式被使用,那就要将nCONFIG管脚接电源VCC,管脚MSELO和MSEL1连接到GND。

(3在JTAG模式下nCE脚必须要么接地要么接低电平

(4)nCEO引脚在不需要供给其他设备的nCE脚时,可以悬空获当作I/O脚来用。

5

第四章自动售货机的硬件电路设计

在硬件电路设计过程中,首先使用AltiumDesigner6.0软件设计电路原理图,然后将原理图传输到PCB板中来,在网络表、设计规则和原理图的引导下布局和布线。

绘制好PCB图后,将做好的PCB文件拿去制作电路板,最后在制好的电路板上焊接芯片及各种阻容件。

本课题将硬件电路分两部分来设计,第一部分由FPGA芯片构成的主模块,第二部分是外围扩展电路。

4.1AltiumDesigner6.0简述

AltiumDesigner6.0是业界首例将设计流程、集成化PCB设计可编程器件设计和基于处理器设计的嵌入式软件开发功能整合在一起的产品。

提供了强大的原理图和PCB图设计功能。

在本课题的很多设计工作都使用到它,从设计原理图到PCB都是由本软件来完成的,其中该软件的设计管理器具有友好的人机接口,而且设计功能强大,使用方便,易于上手。

4.2FPGA芯片主模块电路设计

根据FPGA芯片的数据手册,一个FPGA主模块应该包括电源供电电路、时钟信号电路、下载配置电路(本课题采用JTAG下载模式,所以未加专用配置芯片以及AS下载端口)、I/O端口引出电路等。

4.2.1电源电路

EP2C5T144C8NFPGA芯片的主要供电电压是3.3V,内核电压是1.2V。

本课题采用5VUSB外电源供电,于是这里需要作相应的电压转化。

本课题将使用AMS1117系列稳压芯片来做转化器。

AMS1117是一个正向低压降稳压器在1A电流下压降为1.2V。

有两个版本:

固定输出版本和可调版本。

鉴于本课题的设计电压需求我们将使用固定输出版本中的AMS1117-3.3和AMS1117-1.2型号的芯片,其固定输出电压分别为3.3V和1.2V,正好满足需要。

设计的原理图如下图4-1所示:

6

图4-1电源电路原理图

图中C6、C9、C7、C10、C8、C11为去耦滤波电容。

此电源电路除了给芯片提供电源外,其余模块的电源都有此电路提供。

4.2.2时钟信号电路

EP2C5T144C8N芯片的时钟源是50M,于是时钟信号电路由50M的有源晶振构成,电路图如下图4-2所示:

7

图4-2时钟信号电路原理图

图中C34、L1、和C35组成π型滤波器,防止振荡器干扰电源。

电源稳定振荡器的频率较高。

产生的时钟信号经R9进入芯片17脚。

4.2.3下载配置电路

本课题采用JTAG下载配置模式,电路图如下4-3所示:

图4-3JTAG下载电路原理图

图中R7、R8为上拉地电阻。

4.2.4I/O端口引出电路

本电路将芯片的所有可用的I/O端口用引出到插针,电路图如下图4-4所示

8

图4-4I/O端口电路原理图

图中将芯片的所有可用的I/O端口引出到插针上,以待后续做自动售货机功能模拟时使用,具体的连接将在第五章调试时介绍。

4.3外围电路

4.3.1开关按键电路

本课题设计的自动售货出售4种物品,当系统开始时,可以在设置阶段对4种物品的单价和数量进行设置。

一个物品的单价和数量共占8个位宽,于是,这里采用两个4P拨码开关来模拟。

拨码开关就相当于一个开关量,拨到ON就表示接通,OFF就是断开,拨到ON时相当于输入“1”,拨到OFF时相当于输入“0”。

电路图如图4-5所示:

图4-5

开关电路

本课题设计的自动售货机接收1元和0.5元的两种硬币,硬件通过两个按键来模拟,商品选择也由4个按键模拟,按下去两端就形成短路,松开手就形成开路。

短路相当于输入1,开路为0。

另外需要说明的是,由于按键属于机械开关,按动过程不可避免存在抖动的现象,所以用户按下按键的时间可以稍微长一点。

电路图如图4-6所示下:

9

图4-6按键电路原理图

4.3.2显示模块电路设计

此模块主要用来显示信息分两个部分。

第一部分主要用来指示,用5支发光二极管来实现,其中4支分别指示4种商品,另外一支用作警报(如投币不足等)时指示,电路图如下图4-7所示:

图4-7LED显示电路原理图

10

第二部分主要用来显示信息,用4个7段数码管来实现,分别显示商品的单价和数量,以及找零时的钱币数。

电路图如下图4-8所示:

图4-8数码管显示电路原理图

4.3.3外围电路端口电路

该端口通过插针将上诉的开关电路、按键电路和显示电路需要的连接点引出,在做自动售货机功能模拟时连接芯片的I/O口。

电路原理图如下图4-9所示:

11

图4-9端口电路原理图

4.4硬件电路的总原理图和PCB图

4.4.1FPGA芯片主模块总原理图和PCB图

FPGA芯片主模块的电路原理图和PCB图见附录一。

4.4.2外围电路总原理图和PCB图

外围电路的总原

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 高等教育 > 农学

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2