二进制振幅键控(ASK)调制器与解调器设计.docx

上传人:聆听****声音 文档编号:235030 上传时间:2023-04-28 格式:DOCX 页数:20 大小:370.84KB
下载 相关 举报
二进制振幅键控(ASK)调制器与解调器设计.docx_第1页
第1页 / 共20页
二进制振幅键控(ASK)调制器与解调器设计.docx_第2页
第2页 / 共20页
二进制振幅键控(ASK)调制器与解调器设计.docx_第3页
第3页 / 共20页
二进制振幅键控(ASK)调制器与解调器设计.docx_第4页
第4页 / 共20页
二进制振幅键控(ASK)调制器与解调器设计.docx_第5页
第5页 / 共20页
二进制振幅键控(ASK)调制器与解调器设计.docx_第6页
第6页 / 共20页
二进制振幅键控(ASK)调制器与解调器设计.docx_第7页
第7页 / 共20页
二进制振幅键控(ASK)调制器与解调器设计.docx_第8页
第8页 / 共20页
二进制振幅键控(ASK)调制器与解调器设计.docx_第9页
第9页 / 共20页
二进制振幅键控(ASK)调制器与解调器设计.docx_第10页
第10页 / 共20页
二进制振幅键控(ASK)调制器与解调器设计.docx_第11页
第11页 / 共20页
二进制振幅键控(ASK)调制器与解调器设计.docx_第12页
第12页 / 共20页
二进制振幅键控(ASK)调制器与解调器设计.docx_第13页
第13页 / 共20页
二进制振幅键控(ASK)调制器与解调器设计.docx_第14页
第14页 / 共20页
二进制振幅键控(ASK)调制器与解调器设计.docx_第15页
第15页 / 共20页
二进制振幅键控(ASK)调制器与解调器设计.docx_第16页
第16页 / 共20页
二进制振幅键控(ASK)调制器与解调器设计.docx_第17页
第17页 / 共20页
二进制振幅键控(ASK)调制器与解调器设计.docx_第18页
第18页 / 共20页
二进制振幅键控(ASK)调制器与解调器设计.docx_第19页
第19页 / 共20页
二进制振幅键控(ASK)调制器与解调器设计.docx_第20页
第20页 / 共20页
亲,该文档总共20页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

二进制振幅键控(ASK)调制器与解调器设计.docx

《二进制振幅键控(ASK)调制器与解调器设计.docx》由会员分享,可在线阅读,更多相关《二进制振幅键控(ASK)调制器与解调器设计.docx(20页珍藏版)》请在冰点文库上搜索。

二进制振幅键控(ASK)调制器与解调器设计.docx

二进制振幅键控(ASK)调制器与解调器设计

课程设计任务书

学生姓名:

专业班级:

通信

指导教师:

工作单位:

信息工程学院

题目:

二进制振幅键控(ASK)调制器与解调器设计

要求完成的主要任务:

(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)

数字信号对载波振幅调制称为振幅键控即ASK(Amplitude-ShiftKeying)。

ASK有两种实现方法:

1.乘法器实现法

2.键控法

为适应自动发送高速数据的要求,键控法中的电键可以利用各种形式的受基带信号控制的电子开关来实现,代替电键产生ASK信号,是用基带信号控制与非门的开闭,实现ASK调制,产生信号。

ASK解调方法有两种

1.同步解调法

2.包络解调法。

时间安排:

指导教师签名:

年月日

系主任(或责任教师)签名:

年月日

目录

摘要 I

Abstract II

1.绪论 1

1.1本课题的研究现状 1

1.2选题目的意义 1

2.2ASK系统工作原理及数学模型 2

2.12ASK的调制原理及设计方法 2

3.2ASK各个模块的设计 4

3.12ASK的调制部分 4

3.22ASK解调部分 4

4.VHDL程序设计 5

4.12ASK调制部分程序设计 5

4.22ASK解调程序设计 6

5.2ASK的仿真结果及分析 7

5.1QuartusII的介绍 7

5.2QuartusII的优点 7

5.32ASK调制仿真 8

5.42ASK解调仿真 9

6.总结 11

7.参考文献 12

附录 13

16/20

摘要

从信号传输质量来看,数字系统优于模拟系统,在数字通信网中,除了考虑抗干扰能力外,还要考虑容量和频率资源利用率等重要技术指标。

在数字传输系统中,数字信号对高频载波进行调制,变成频带信号,在接收端进行解调,恢复原数字信号对载波的控制分为振幅调制即振幅键控(ASK)。

如今,FPGA在通信领域得到了广泛的应用,利用FPGA性能优越,使用方便的特点,可以简化振幅调制解调电路的设计,而且易于反复编写和修改程序。

本次实验运用VHDL语言进行基于FPGA的振幅键控调制电路和解调电路设计的实现方案,给出了程序设计和仿真结果。

完成了二进制基带数字信号的调制的解调,得到相应的调制信号和解调。

关键词:

FPGA二进制振幅键控ASK调制解调

Abstract

Fromthequalityofsignaltransmission,thedigitalsystemisbetterthananalogsystem,inthedigitalcommunicationnetwork,inadditiontoconsideringtheanti-interferenceability,butalsoconsidertheimportanttechnicalindexesofcapacityandfrequencyresourceutilization.Indigitaltransmissionsystem,thedigitalsignaltomodulatethehighfrequencycarrier,tothefrequencysignal,demodulationatthereceivingendtorestoretheoriginaldigitalsignal,controlthecarrieramplitudemodulationisdividedintoamplitudeshiftkeying(ASK).Now,FPGAhasbeenwidelyusedinthefieldofcommunication,theuseofFPGAsuperiorperformance,convenientuse,itcansimplifythedesignofamplitudemodulationanddemodulationcircuit,butalsoeasytorepeatedlywriteandmodifytheprogram.

TheexperimentusetheVHDLlanguagetorealizetheschemeofmodulationcircuitanddemodulationcircuitdesignofamplitudeshiftbasedonFPGA,theprogramdesignandthesimulationresultsaregiven.Thecompletionofthemodulationanddemodulationofbinarybasebanddigitalsignal,getthemodulationsignalandthecorrespondingdemodulation.

Keywords:

FPGAbinaryamplitudeshiftkeyingASKmodulationdemodulation

1.绪论

1.1本课题的研究现状

随着时代的发展,用户不再满足于听到声音,而且还要看到图像;通信终端也不局限于单一的电话机,而且还有传真机和计算机等数据终端。

现有的传输媒介电缆、微波中继和卫星通信等将更多地采用数字传输。

数字信号的载波调制是信道编码的一部分,之所以在信源编码和传输通道之间插入信道编码是因为通道及相应的设备对所要传输的数字信号有一定的限制,未经处理的数字信号源不能适应这些限制。

由于传输信道的频带资源总是有限的,因此在充分得利用现有资源的前提下,提高传输效率就是通信系统所追求的最重要指标之一。

模拟通信很难控制传输效率,最常见到的单边带调幅(SSB)或残留边带调幅(VSB)可以节省近一半的传输频带。

由于数字信号只有“0”和“1”两种状态,所以数字调制完全可以理解为像报务员用开关键控制载波的过程,因此数字信号的调制方式一般均为较简单的键控方式。

现代通信系统的发展随着VerilogHDL等设计语言的出现和ASIC的应用进入了一个新的阶段。

由于大多数信号都是带通型的,所以必须先用数字基带信号对载波进行调节,形成数字调制信号再进行传输。

因而,调制技术是实现现代通信的重要手段。

本文在研究ASK系统的基础上,基于FPGA设计了它的调制解调仿真实现方案。

首先确定了ASK系统的仿真方案。

其次编写了方案所需的程序,调制及解调实现功能。

结果表明用FPGA控制ASK系统的实现方法简单,误码率低。

提高了数字通信系统的效率,降低了成本。

1.2选题目的意义

这个课题是基于FGPA设计并制作一个2ASK调制解调器,实现数字信号对载波的调制和解调。

通过这个课题理解掌握课题涉及的相关内容,熟练使用相关开发工具软件QuartusII,熟悉数字信号载波调制解调的基本方式。

现代通信系统是一个十分复杂的工程系统,通信系统设计研究也是一项十分复杂的技术。

由于技术的复杂性,在现代通信技术中,越来越重视采用计算机仿真技术来进行系统。

2.2ASK系统工作原理及数学模型

2.12ASK的调制原理及设计方法

数字幅度调制又称幅度键控(ASK),二进制幅度键控记作2ASK。

2ASK是利用代表数字信息“0”或“1”的基带矩形脉冲去键控一个连续的载波,使载波时断时续地输出。

有载波输出时表示发送“1”,无载波输出时表示发送“0”。

通过“0”和“1”的组合,来发送数据。

2ASK信号的产生方法通常有两种,模拟调制法(相乘器法)和键控法,如下图(a)为一般的模拟幅度调制方法,用乘法器将基带信号和载波信号相乘来实现的。

图(b)是一种数字键控法,键控法是产生2ASK信号的一种方法。

在2ASK中,载波的幅度只有两种变化状态,分别对应二进制信息“0”或“1”。

一种常用的、也是最简单的二进制振幅键控方式称为通-断键控(OnOffKeying)。

所以2ASK又称为通断控制(OOK)。

最典型的实现方法是用一个电键来控制载波振荡器的输出而获得。

其中的开关电路受s(t)控制。

图(c)是基带信号和调制信号波形。

图12ASK信号产生的方法及波形

2.22ASK解调原理及设计方法

2ASK信号解调的常用方法主要有两种:

包络检波法和相干检测法。

包络检波法的原理方框图如图2所示:

调制后的2ASK信号先通过一个带通滤波器(BPF),滤除大部分的噪声,并使2ASK信号可完整地通过;经全波整流器横轴下的波形翻转到横轴以上;再经过一个低通滤波器(LPF),低通滤波器的作用是滤除高频杂波,使基带信号(包络)通过;通过抽样判决器,这样就可以还原出基带信号。

抽样判决器

LPF

BPF

半波或全波整流

2ASK信号

定时脉冲S(t)

图22ASK信号的包络解调

相干检测法原理方框图如图3所示:

相干检测就是同步解调,首先是2ASK信号经过一个带通滤波器,滤除里面的噪声;经相乘器调制信号和coswct相乘会产生两个频率信号,即一个高频信号和一个频率与基带信号频率一样的信号,我们要得到基带信号,即是要它的低频成分;这样通过低通滤波器滤除高频成分即可得到低频成分也就是基带信号。

图32ASK的相干解调

3.2ASK各个模块的设计

3.12ASK的调制模块

2ASK调制的建模方框图如图4所示。

图中为数字部分,输出信号为数字信号。

其建模思想为:

(1)采用数字载波信号数字载波信号产生的方法可以从外部输入,也可以通过高频时钟信号分频得到。

(2)采用键控法:

调制在图4中,数字基带信号作为键控信号控制与门来完成ASK调制。

载波F

与门

已调信号

分频器

时钟信号

基波信号

开始信号

图42ASK的调制方框图

3.22ASK解调模块

解调方框图如图5所示。

解调器包括分频器,计数器,寄存器和判决器等。

分频器的功能是对时钟信号进行分频得到与发送端数字载波相同的数字载波信号,寄存器的功能是在时钟的上升沿到来时把数字ASK信号存入寄存器,计数器的功能是利用分频器输出的载波信号作为计数器的时钟信号,在其上升沿到来时,对寄存器中的ASK载波个数进行计数,当计数值m小于3时,输出为:

“0”,否则则输出为:

“1”,判决器的功能是:

以数字载波作为判决时钟,对计数器输出信号进行抽样判决,并输出解调后的基带信号。

ASK信号

寄存器

开始信号

基带信号

判决

计数器

分频器

时钟信号

图52ASK的解调信号

4.VHDL程序设计

4.12ASK调制部分程序设计

首先将频率为fc的时钟信号CLK分频产生频率为fc/4的载波信号,以四进制计数器q循环计数,图4.2所示为键控电路的VHDL程序设计流程图。

基带

信号

f=0

f=1

q=3

q=2

q=1

q=0

开始

循环

结束

输出y

图62ASK解调框图

4.22ASK解调程序设计

开始

m=10

m=m+1

m=0

m<3

y=1

Y

结束

输出y

y=0

N

m=11

图72ASK解调框图

5.2ASK的仿真结果及分析

5.1QuartusII的介绍

AlteraQuartusII作为一种可编程逻辑的设计环境,由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。

AlteraQuartusII(3.0和更高版本)设计软件是业界唯一提供FPGA和固定功能HardCopy器件统一设计流程的设计工具。

工程师使用同样的低价位工具对StratixFPGA进行功能验证和原型设计,又可以设计HardCopyStratix器件用于批量成品。

系统设计者现在能够用QuartusII软件评估HardCopyStratix器件的性能和功耗,相应地进行最大吞吐量设计。

本次实验使用的是QuartusII13.1。

5.2QuartusII的优点

支持MAX7000/MAX3000等乘积项器件,QuartusII设计软件现在除了支持Altera的APEX20KE,APEX20KC,APEXII,ARM的Excalibur嵌入处理器方案,Mercury,FLEX10KE和ACEX1K之外,还支持MAX3000A,MAX7000系列乘积项器件。

MAX3000A和MAX7000设计者现在可以使用QuartusII设计软件中才有的所有强大的功能。

软件体积缩小,运行速度加快,LogicLock设计流程把性能提升15%,采用快速适配选项缩短编译时间,QuartusⅡ软件能够直接满足特定设计需要,为可编程芯片系统(SOPC)设计提供了全面的设计环境,它是集系统级设计、嵌入式软件开发、可编程逻辑设计于一体的综合性的开发平台。

此外,QuartusⅡ软件可以通过与DSP Builder工具、Matlab/Simulink相结合,方便地实现各种DSP应用系统。

QuartusⅡ软件还支持LPM/Megafunction宏功能模块库,用户可以充分利用成熟的模块,简化设计的复杂性,加快设计速度。

QuartusⅡ软件对第三方EDA具有良好的支持,除了自身具备仿真功能以外,同时也支持第三方的仿真工具,如ModelSim。

这也使用户可以在设计流程的各个阶段熟悉地掌握第三方EDA工具。

5.32ASK调制仿真

将程序调试好,可以查看调制系统的RTL视图。

图82ASK调制仿真

打开创建的VWF文件,将时钟信号等输入信号复制,而QuartusII13.1内部是集成有仿真器的,所以不需要再用外部的仿真器,点击仿真按钮,可得到如下的仿真图。

图92ASK调制仿真图

图102ASK调制仿真局部放大图

如图11所示,为生成的流量报告。

图112ASK的测试报告

由上面的调制仿真图可以看到,在start信号为高电平时,电路可以正常工作,当基带信号为低电平时,已调信号也为低电平;当高电平为高电平时,已调信号为载波电平,从这可以看出,已调信号的波形受基带信号的振幅控制,所以实现了振幅键控调制。

5.42ASK解调仿真

解调模块RTL视图。

图12解调RTL视

打开创建的VWF文件,将时钟信号等输入信号复制,点击仿真按钮,可得到解调的仿真图。

图13ASK解调仿真全图

将解调的图展开,得到图14

图142ASK解调仿真局部放大图

注:

a.在q=11时,m清零。

b.在q=10时,根据m的大小,进行对输出基带信号y的电平的判决。

c.在q为其它时,m计xx(x信号的寄存器)的脉冲数。

d.输出的基带信号y滞后输入的调制信号x10个clk。

如图15所示,为生成的测试报告。

图152ASK解调测试报告

由仿真结果可以看出,我们解调出了与基带信号一样的解调波形。

与基带信号相比,解调信号会有一点时延。

6.总结

振幅键控调制和解调在通信电路中广泛使用,应用FPGA完成振幅键控调制解调,具有通用性和实用性,用VHDL程序完成电路的软件设计。

在课程设计过程中,我不断发现错误,不断改正,不断领悟检测调试环节,本身就是在践行“过而能改,善莫大焉”的知行观。

这次课程设计终于顺利完成了,在设计中遇到了很多问题,最后在同学的帮助下,终于游逆而解。

在今后社会的发展和学习实践过程中,一定要不懈努力,不能遇到问题就想到要退缩,一定要不厌其烦的发现问题所在,然后一一进行解决,只有这样,才能成功的做成想做的事,才能在今后的道路上劈荆斩棘,而不是知难而退,那样永远不可能收获成功,收获喜悦,也永远不可能得到社会及他人对你的认可!

  课程设计诚然是一门专业课,给我很多专业知识以及专业技能上的提升,同时又是一门讲道课,一门辩思课,给了我许多道,给了我很多思,给了我莫大的空间。

同时,设计让我感触很深。

使我对抽象的理论有了具体的认识。

通过这次课程设计,我掌握了QuartusII的基本用法和对2ASK有了更深的理解。

7.参考文献

1.樊昌信.通信原理教程(第六版).北京:

国防工业出版社,2012

2.王振红.FPGA开发与应用.北京:

清华大学出版社,2010

3.江国强.EDA技术与应用[M].电子工业出版社,2007。

4.王兴亮,寇宝明.数字通信原理与技术[M].西安:

西安电子科技大学出版社,2009。

5.崔良海,徐洁.数据通信技术[M].北京:

北京大学出版社,2009。

附录

1.2ASK调制程序:

libraryieee;

useieee.std_logic_arith.all;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entityPL_ASKis

port(clk:

instd_logic;--系统时钟

start:

instd_logic;--开始调制信号

x:

instd_logic;--基带信号

y:

outstd_logic);--调制信号

endPL_ASK;

architecturebehavofPL_ASKis

signalq:

integerrange0to3;--分频计数器

signalf:

std_logic;--载波信号

begin

process(clk)

begin

ifclk'eventandclk='1'then

ifstart='0'thenq<=0;

elsifq<=1thenf<='1';q<=q+1;--改变q后面数字的大小,就可以改变载波信号的占空比

elsifq=3thenf<='0';q<=0;--改变q后面数字的大小,就可以改变载波信号的频率

elsef<='0';q<=q+1;

endif;

endif;

endprocess;

y<=xandf;--对基带码进行调制

endbehav;

2.2ASK解调程序

libraryieee;

useieee.std_logic_arith.all;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entityPL_ASK2is

port(clk:

instd_logic;--系统时钟

start:

instd_logic;--同步信号

x:

instd_logic;--调制信号

y:

outstd_logic);--基带信号

endPL_ASK2;

architecturebehavofPL_ASK2is

signalq:

integerrange0to11;--计数器

signalxx:

std_logic;--寄存x信号

signalm:

integerrange0to5;--计xx的脉冲数

begin

process(clk)--对系统时钟进行q分频,

begin

ifclk'eventandclk='1'thenxx<=x;--clk上升沿时,把x信号赋给中间信号xx

ifstart='0'thenq<=0;--if语句完成q的循环计数

elsifq=11thenq<=0;

elseq<=q+1;

endif;

endif;

endprocess;

process(xx,q)--此进程完成ASK解调

begin

ifq=11thenm<=0;--m计数器清零

elsifq=10then

ifm<=3theny<='0';--if语句通过对m大小,来判决y输出的电平

elsey<='1';

endif;

elsifxx'eventandxx='1'thenm<=m+1;--计xx信号的脉冲个数

endif;

endprocess;

endbehav;

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 解决方案 > 学习计划

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2