基于EDA与VHDL语言的8位数字频率计的课程设计报告概要.docx

上传人:b****1 文档编号:2646411 上传时间:2023-05-04 格式:DOCX 页数:15 大小:1.02MB
下载 相关 举报
基于EDA与VHDL语言的8位数字频率计的课程设计报告概要.docx_第1页
第1页 / 共15页
基于EDA与VHDL语言的8位数字频率计的课程设计报告概要.docx_第2页
第2页 / 共15页
基于EDA与VHDL语言的8位数字频率计的课程设计报告概要.docx_第3页
第3页 / 共15页
基于EDA与VHDL语言的8位数字频率计的课程设计报告概要.docx_第4页
第4页 / 共15页
基于EDA与VHDL语言的8位数字频率计的课程设计报告概要.docx_第5页
第5页 / 共15页
基于EDA与VHDL语言的8位数字频率计的课程设计报告概要.docx_第6页
第6页 / 共15页
基于EDA与VHDL语言的8位数字频率计的课程设计报告概要.docx_第7页
第7页 / 共15页
基于EDA与VHDL语言的8位数字频率计的课程设计报告概要.docx_第8页
第8页 / 共15页
基于EDA与VHDL语言的8位数字频率计的课程设计报告概要.docx_第9页
第9页 / 共15页
基于EDA与VHDL语言的8位数字频率计的课程设计报告概要.docx_第10页
第10页 / 共15页
基于EDA与VHDL语言的8位数字频率计的课程设计报告概要.docx_第11页
第11页 / 共15页
基于EDA与VHDL语言的8位数字频率计的课程设计报告概要.docx_第12页
第12页 / 共15页
基于EDA与VHDL语言的8位数字频率计的课程设计报告概要.docx_第13页
第13页 / 共15页
基于EDA与VHDL语言的8位数字频率计的课程设计报告概要.docx_第14页
第14页 / 共15页
基于EDA与VHDL语言的8位数字频率计的课程设计报告概要.docx_第15页
第15页 / 共15页
亲,该文档总共15页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

基于EDA与VHDL语言的8位数字频率计的课程设计报告概要.docx

《基于EDA与VHDL语言的8位数字频率计的课程设计报告概要.docx》由会员分享,可在线阅读,更多相关《基于EDA与VHDL语言的8位数字频率计的课程设计报告概要.docx(15页珍藏版)》请在冰点文库上搜索。

基于EDA与VHDL语言的8位数字频率计的课程设计报告概要.docx

基于EDA与VHDL语言的8位数字频率计的课程设计报告概要

湖南人文科技学院

课程设计报告

课程名称:

VHDL语言与EDA课程设计

 

设计题目:

数字频率计的设计

系别:

物理与电子信息系

专业:

电子信息工程

班级:

11级电信三班

学生姓名:

唐凯李海标

学号:

****************

起止日期:

2014年6月3日~2014年6月13日

指导教师:

姚毅成继中

教研室主任:

候周国

 

摘要

数字频率计是直接用十进制数字来显示被测信号频率的一种测量装置。

它不仅可以测量正弦波、方波、三角波、尖脉冲信号和其他具有周期特性的信号的频率,而且还可以测量它们的周期。

经过改装,可以测量脉冲宽度,做成数字式脉宽测量仪;可以测量电容做成数字式电容测量仪;在电路中增加传感器,还可以做成数字脉搏仪、计价器等。

因此数字频率计在测量物理量方面应用广泛。

本设计用VHDL在CPLD器件上实现数字频率计测频系统,能够用十进制数码显示被测信号的频率,能够测量正弦波、方波和三角波等信号的频率,而且还能对其他多种物理量进行测量。

具有体积小、可靠性高、功耗低的特点。

数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。

采用VDHL编程设计实现的数字频率计,除被测信号的整形部分、键输入部分和数码显示部分以外,其余全部在一片FPGA芯片上实现,整个系统非常精简,而且具有灵活的现场可更改性。

在不更改硬件电路的基础上,对系统进行各种改进还可以进一步提高系统的性能。

该数字频率计具有高速、精确、可靠、抗干扰性强和现场可编程等优点。

关键字:

FPGA芯片、VHDL语言、数字频率计、数字频率计原理图、Max+plusII软件、EDA技术

 

数字频率计的设计

1、方案论证与对比

数字式频率计的测量原理有两类:

一是直接测频法,即在一定闸门时间内测量被测信号的脉冲个数;二是间接测频法即测周期法,如周期测频法。

直接测频法适用于高频信号的频率测量,通常采用计数器、数据锁存器及控制电路实现,并通过改变计数器阀门的时间长短在达到不同的测量精度;间接测频法适用于低频信号的频率测量。

 

本设计中使用的就是直接测频法,即用计数器在计算1s内输入信号周期的个数。

本频率计设计测量频率的基本原理是,首先让被测信号与标准信号一起通过一个闸门,然后用计数器计数信号脉冲的个数,把标准时间内的计数的结果,用锁存器锁存起来,最后用显示译码器,把锁存的结果用LED数码显示管显示出来。

根据数字频率计的基本原理,本文设计方案的基本思想是分为五个模块来实现其功能,即整个数字频率计系统分为分频模块、控制模块、计数模块、译码模块和量程自动切换模块等几个单元,并且分别用VHDL对其进行编程,实现了闸门控制信号、计数电路、锁存电路、显示电路等。

2、技术性能指标

1)能够测量正弦波、三角波、锯齿波、矩形波等周期性信号的频率;

2)能直接用十进制数字显示测得的频率;

3)频率测量范围:

1HZ~10MHZ;

4)输入信号幅度范围为0.5~5V,要求一起自动适应;

5)测量时间:

T<=1.5s;

6)用CPLD/FPGA可编程逻辑器件实现;

3、频率计的设计原理

3.1、频率计测量频率的设计原理

3.1.1、频率计测量频率的原理

频率计测量频率需要设计整形电路使被测周期性信号整形成脉冲,然后设计计数器对整形后的脉冲在单位时间内重复变化的次数进行计数,计数器计出的数字经锁存器锁存后送往译码驱动显示电路用数码管将数字显示出来,需要设计控制电路产生允许计数的门闸信号、计数器的清零信号和锁存器的锁存信号使电路正常工作,再设计一个量程自动转换电路使测量范围更广。

3.1.2、频率计测量频率的原理图

频率计测量频率的原理图如下:

图1频率计测量频率的原理图

3.2、频率计测量周期的原理

3.2.1、频率计测量周期的原理

频率计测量周期需要设计整形电路使被测周期性信号整形成脉冲,然后设计计数器对基准信号在被测信号一个周期内重复变化的次数进行计数,计数器计出的数字经锁存器锁存后送往译码驱动显示电路用数码管将数字显示出来,需要设计控制电路产生允许计数的使能信号、计数器的清零信号和锁存器的锁存信号使电路正常工作,再设计一个量程自动转换电路使测量范围更广。

3.2.2、频率计测量周期的原理图

频率计测量周期的原理图如下:

图2频率计测量周期的原理图

4、频率计测量频率的层次化设计方案

4.1、8位十进制计数器模块

8位十进制计数器模块包含8个级联十进制计数器,用来对施加到时钟脉冲输入端的待测信号产生的脉冲进行计数,十进制计数器具有集束使能、清零控制和进位扩展输出的功能。

使能信号和清零信号由闸门控制模块的控制信号发生器所产生来对8个级联十进制计数器周期性的计数进行控制。

 

4.1.1、十进制计数器元件的设计

十进制计数器的程序如下:

程序1十进制计数器的程序

在源程序中COUT是计数器进位输出;DOUT[3..0]是计数器的状态输出;CLK是始终输入端;RST是复位控制输入端,当RST=0时,DOUT[3..0]=0;EN是使能控制输入端,当EN=1时,计数器计数,当EN=0时,计数器保持状态不变。

编译成功后进行仿真,其仿真波形如图3所示。

图3十进制计数器的仿真波形图

在项目编译仿真成功后,将设计的十进制计数器电路设置成可调用的元件CNT10.sym,用于以下的顶层设计,如图4所示。

图4十进制计数器元件CNT10.sym

4.1.2、8位十进制计数器的顶层设计

新建一个原理图编辑窗,从当前的工程目录中凋出8个十进制计数器元件CNT10.sym,并按如图5所示的8位十进制计数器的顶层原理图完成电路连接。

图58位十进制计数器的顶层原理图

将以上设计的8位十进制计数器设置成可调用的元件8_CNT10.sym,以备高层设计中使用,其元件符号图如图6所示。

图68位十进制计数器元件8_CNT10.sym

4.2、控制模块设计

频率计电路工作时先要产生一个计数允许信号(即闸门信号),闸门信号的宽度为单位时间,如1S。

在闸门信号有效时间内,对被测信号计数,即为信号的频率。

该频率计电路的精度取决于闸门信号T。

本设计中选取的基准信号频率为750KHz,为了得到1s高电平的周期性闸门信号,本设计采用对频率为750KHz基准信号先进行75分频,再进行3个10分频,最后进行11分频,再用非门对分频出的信号进行取非变换,这样得到的门闸信号高电平为1秒钟。

 

1)75进制计数器的程序如下:

程序275进制计数器的程序

编译成功后生成元件CNT75.sym如图7所示。

图775进制计数器元件图CNT75.sym

将生成的75进制计数器、10进制计数器和非门连接来得到1S高电平门闸信号,如图8所示:

图8由10、75进制计数器和非门连接得到门闸信号

将其电路图进行仿真,其仿真波形如图9所示:

图9电路仿真图

对照其仿真波形,其输出门闸信号高电平为1S,符合设计,将其电路生成如下元件图,以便顶层调用。

如图10所示。

图10750KHz元件图

2)控制信号发生器模块

该模块主要根据输入高电平的1S闸门信号,产生计数允许信号EN,该信号的高电平的持续时间即计数允许时间,与输入的门闸控制时钟脉冲周期相同;产生清零信号RST,在计数使能前对计数器先清零;产生存储信号LOAD,在计数结束后,利用上升沿把最新的频率测量值保存在显示寄存器中。

为了产生清零信号RST,使能信EN和存储信号LOAD。

不失一般性,控制信号发生器用74161构成4分频计数器,用一个与非门,一个或非门和一个异或门实现3种译码状态,与闸门模块如图11所示连接。

图11控制信号发生器模块连接图

编译成功后进行仿真,其仿真波形如图12所示。

图12控制信号发生器模块仿真波形图

该功能正确无误后生成的元件符号图如图13所示。

图13750KHz控制模块元件图

5、频率计测量频率的顶层设计和仿真

在成功完成底层单元电路模块设计仿真后,可根据第3章的测频原理图,把上面的各个模块按照图14连接起来。

图14频率计主体电路顶层原理图

对上面的测频总电路图进行仿真,其波形图如图15。

图15频率计主体电路仿真波形图

其仿真波形正确无误。

6、总结与致谢

6.1、总结

经过两个星期的EDA课程设计及学习,从对EDA的操纵界面的完全陌生到现在的基本熟练操纵,我收获的不仅仅是知道了MAX+PLUS软件的使用方法,更重要的收获是通过两周的思考、分析、发问、设计、修正、动手连实验从而真正的体会到了数字电路各个项目功能的奥妙所在。

刚拿到题目时的不知从何下手,和自己题目相近的同学讨论对策,不停反复的看书查资料,寻求帮助,实际电路的连接与测试,每个过程都是设计的关键所在。

程序的设计,能够很大程度的改善电路的性能,并且能够简化电路图的连接。

通过此次课程设计的学习,使我感受最深的是实践与理论的相结合,也是对我们以前学的知识的总结和概括,使得我们在设计的过程中体会到了EDA的重要性,体会到了我们所学的知识的用途和方向。

我们在用电脑设计的过程中也是体会到了各个程序模块的使用方法。

使我们更熟练的掌握到了这个软件和编程。

这次课程设计使我知道了不管做什么事情都要认真努力,在编程和下载调试时要仔细,这是实验成功的关键,在这点上我是深有体会的。

最后在老师的指导下成功的完成了。

6.2、致谢

在课程设计完成之际,首先要向我的指导老师姚毅老师表示衷心的感谢和诚挚的敬意。

本课程设计的制作过程是在姚毅老师的指导下进行的。

由于本人水平有限,在做课程设计的过程中,老师给予我很多的指导并提出了许多的宝贵意见,对我的一些看法以及错误的观点予以及时纠正。

使我在完成设计的同时,对学习的专业基础知识做了一次系统的复习总结,并且对相关的学科有了一定的了解和认识,获益非浅。

我从老师那里学到的不仅仅是知识,更重要的是对事业忘我的追求、高度的使命感、责任感及和蔼热情的品质,这些将使我受益一生,并将激励我不断向前奋进。

附录、管脚配置

图16管脚配置

参考文献

[1]潘松、黄继业EDA技术与VHDL(第四版)清华大学出版社2013

[2]卢毅,赖杰VHDL北与数字电路设计京科学出版社,2001

[3]徐志军大规模可编程逻辑器件及其应用成都电子科技大学出版社,2000

[4]赵曙光可编程逻辑器件原理、开发与应用西安电子科技大学出版社,2000

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 人文社科 > 法律资料

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2