乐曲硬件演奏电路的EDA设计.docx

上传人:b****2 文档编号:3179353 上传时间:2023-05-05 格式:DOCX 页数:44 大小:113.21KB
下载 相关 举报
乐曲硬件演奏电路的EDA设计.docx_第1页
第1页 / 共44页
乐曲硬件演奏电路的EDA设计.docx_第2页
第2页 / 共44页
乐曲硬件演奏电路的EDA设计.docx_第3页
第3页 / 共44页
乐曲硬件演奏电路的EDA设计.docx_第4页
第4页 / 共44页
乐曲硬件演奏电路的EDA设计.docx_第5页
第5页 / 共44页
乐曲硬件演奏电路的EDA设计.docx_第6页
第6页 / 共44页
乐曲硬件演奏电路的EDA设计.docx_第7页
第7页 / 共44页
乐曲硬件演奏电路的EDA设计.docx_第8页
第8页 / 共44页
乐曲硬件演奏电路的EDA设计.docx_第9页
第9页 / 共44页
乐曲硬件演奏电路的EDA设计.docx_第10页
第10页 / 共44页
乐曲硬件演奏电路的EDA设计.docx_第11页
第11页 / 共44页
乐曲硬件演奏电路的EDA设计.docx_第12页
第12页 / 共44页
乐曲硬件演奏电路的EDA设计.docx_第13页
第13页 / 共44页
乐曲硬件演奏电路的EDA设计.docx_第14页
第14页 / 共44页
乐曲硬件演奏电路的EDA设计.docx_第15页
第15页 / 共44页
乐曲硬件演奏电路的EDA设计.docx_第16页
第16页 / 共44页
乐曲硬件演奏电路的EDA设计.docx_第17页
第17页 / 共44页
乐曲硬件演奏电路的EDA设计.docx_第18页
第18页 / 共44页
乐曲硬件演奏电路的EDA设计.docx_第19页
第19页 / 共44页
乐曲硬件演奏电路的EDA设计.docx_第20页
第20页 / 共44页
亲,该文档总共44页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

乐曲硬件演奏电路的EDA设计.docx

《乐曲硬件演奏电路的EDA设计.docx》由会员分享,可在线阅读,更多相关《乐曲硬件演奏电路的EDA设计.docx(44页珍藏版)》请在冰点文库上搜索。

乐曲硬件演奏电路的EDA设计.docx

乐曲硬件演奏电路的EDA设计

沈阳工程学院

课程设计

 

设计题目:

乐曲硬件演奏电路的EDA设计

 

系别自控系班级电子本072

学生姓名陈勇冰学号2007310227

指导教师尹常永

起止日期:

2010年06月21日起—至2010年07月02日止

设计地点:

教学楼F213

 

沈阳工程学院

课程设计任务书

课程设计题目:

乐曲硬件演奏电路的EDA设计

 

系别自控系班级电子本072班

学生姓名陈勇冰学号2007310227

指导教师尹常永

起止日期:

2010年06月21日起——2010年07月02日止

教研室主任田景贺

2010年06月17日

 

1.设计主要内容及要求

乐曲硬件演奏电路EDA设计

1)简要说明

利用FPGA,设计一个乐曲硬件演奏电路。

演奏时可选择键盘输入乐曲或者已存入的乐曲,并配以一个小扬声器。

任务和要求:

乐曲的12平均率规定:

每2个八度音(如简谱中的中音1与高音1)之间的频率相差1倍。

在2个八度音之间,又可分为12个半音,每2个半音的频率比为12√2。

另外,音符A(简谱中的低音6)的频率为440Hz,音符B到C之间、E到F之间为半音,其余为全音。

由此可以计算出简谱中从低音l至高音1之间每个音符的频率。

设计音符查找表电路模块,时钟模块,数控分频器模块,音乐节拍产生模块电路。

任意选择歌曲进行设计,但歌曲前奏必须是中音5353222。

3)训练目标

具备硬件编程语言VHDL设计数字系统的初步能力,熟悉开发环境和流程,掌握技术方法。

2.对设计说明书、论文撰写内容、格式、字数的要求

1)课程设计说明书(论文)是体现和总结课程设计成果的载体,一般不应少于3000字。

2)学生应撰写的内容为:

中文摘要和关键词、目录、正文、参考文献等。

课程设计说明书(论文)的结构及各部分内容要求可参照《沈阳工程学院毕业设计(论文)撰写规范》执行。

应做到文理通顺,内容正确完整,书写工整,装订整齐。

3)说明书(论文)手写或打印均可。

手写要用学校统一的课程设计用纸,用黑或蓝黑墨水工整书写;打印时按《沈阳工程学院毕业设计(论文)撰写规范》的要求进行打印。

4)课程设计说明书(论文)装订顺序为:

封面、任务书、成绩评审意见表、中文摘要和关键词、目录、正文、参考文献。

3.时间进度安排;

顺序

阶段日期

计划完成内容

备注

1

06.21-06.23

选题,搜集资料,熟悉设计环境

2

06.24-06.28

调试程序

3

06.28-06.29

仿真程序

4

06.30-07.01

撰写课程设计说明书(论文)

5

07.02

答辩

沈阳工程学院

VHDL与可编程逻辑器件应用课程设计成绩评定表

系(部):

自动控制工程系班级:

电子本072班学生姓名:

陈勇冰

指导教师评审意见

评价

内容

具体要求

权重

评分

加权分

调研

论证

能独立查阅文献,收集资料;能制定课程设计方案和日程安排。

0.1

5

4

3

2

工作能力

态度

工作态度认真,遵守纪律,出勤情况是否良好,能够独立完成设计工作,

0.2

5

4

3

2

工作量

按期圆满完成规定的设计任务,工作量饱满,难度适宜。

0.2

5

4

3

2

说明书的质量

说明书立论正确,论述充分,结论严谨合理,文字通顺,技术用语准确,符号统一,编号齐全,图表完备,书写工整规范。

0.5

5

4

3

2

指导教师评审成绩

(加权分合计乘以12)

加权分合计

指导教师签名:

年月日

评阅教师评审意见

评价

内容

具体要求

权重

评分

加权分

查阅

文献

查阅文献有一定广泛性;有综合归纳资料的能力

0.2

5

4

3

2

工作量

工作量饱满,难度适中。

0.5

5

4

3

2

说明书的质量

说明书立论正确,论述充分,结论严谨合理,文字通顺,技术用语准确,符号统一,编号齐全,图表完备,书写工整规范。

0.3

5

4

3

2

评阅教师评审成绩

(加权分合计乘以8)

加权分合计

评阅教师签名:

年月日

课程设计总评成绩

摘要

乐曲演奏广泛用于自动答录装置、手机铃声、集团电话、及智能仪器仪表设备。

实现方法有许多种,在众多的实现方法中,以纯硬件完成乐曲演奏,随着FPGA集成度的提高,价格下降,EDA设计工具更新换代,功能日益普及与流行,使这种方案的应用越来越多。

如今的数字逻辑设计者面临日益缩短的上市时间的压力,不得不进行上万门的设计,同时设计者不允许以牺牲硅的效率达到保持结构的独特性。

使用现今的EDA软件工具来应付这些问题,并不是一件简单的事情。

FPGA预装了很多已构造好的参数化库单元LPM器件。

通过引入支持LPM的EDA软件工具,可以设计出结构独立而且硅片的使用效率非常高的产品。

本文分析了乐曲演奏设计中音符、频率、节拍与编码的相互关系,并在EDA开发工具MAX-plusII平台上,采用VHDL语言及原理图的设计方法,实现了基于FPGA片上系统动态显示可选择多首乐曲的乐曲演奏器的设计,使乐曲演奏数字电路的设计得到了更好的优化,提高了设计的灵活性。

关键词FPGA,EDA,VHDL,数控分频器

 

Abstract

Musicperformancesarewidelyusedinautomaticansweringdevices,cellphoneringtones,theGroupphones,smartinstrumentationandequipment。

Thereareseveralwaystoachieve,inmanywaystoachieve,purehardwaretocompletethemusicperformances,alongwiththeimprovementofFPGAintegration,Lowerprices,EDAdesigntoolreplacement,withthegrowingpopularityoffunctionalfashion,theapplicationofsuchaprogramesothatmoreandmore.Today'sdigitallogicdesignersfaceincreasinglyshortertimetomarketpressure,hadto10,000onthedesign,designersarenotallowedtosacrificetheefficiencyofsilicontomaintaintheuniquenessofthestructure.TheuseofthecurrentEDAsoftwaretoolstotackletheseproblems,isnotasimplematter.Pre-installedFPGAhasalotofgoodstructuralparametersoftheunitLPMdevices.ByintroducingsupportfortheLPMEDAsoftwaretools,youcandesignastructureindependentofsiliconandaveryhighefficiencyintheuseoftheproduct.

Thispaperanalyzesthecorrelationbetweenthecodingwiththenote,frequencyandrhythminmusicalperformante,BasedonMAX-plusII—theEDAdevelopmenttool,thisdesignhasadoptedthemethodofclassificationofVHDLandprinciplepicturedesignprocedures.AndthedesignofdynamicdisplayfingmusicplayerhasbeenachievedonthebasicofFPGAtomuchmusic,thedesignmakingmusicperformthefigurecircuithasgotthemuchbetteroptimization,hasimprovedtheflexibilitydesigningthat.

KeywordsFPGA,EDA,VHDL,digitalfrequencymultiplexsystem

不要删除行尾的分节符,此行不会被打印

千万不要删除行尾的分节符,此行不会被打印。

在目录上点右键“更新域”,然后“更新整个目录”。

打印前,不要忘记把上面“Abstract”这一行后加一空行

第1章引言

1.1EDA技术的概念及发展趋势

EDA是电子设计自动化(ElectronicDesignAutomation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。

EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

EDA技术的出现,极大地提高了电路设计的效率和可靠性,减轻了设计者的劳动强度。

现在EDA技术应用广泛,包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。

目前EDA 技术已在各大公司、科研和教学部门广泛使用。

在产品设计与制造方面,EDA 技术可实现前期的计算机仿真、系统级模拟及测试环境的仿真、PCB的制作、电路板的焊接、ASIC的设计等。

在教学方面,我国高校是从九十年代中期开始EDA教育的,现在几乎所有理工科类高校都开设了EDA课程。

这些课程主要是让学生了解EDA的基本概念和原理,使用EDA软件进行电子电路课程的实验及从事简单系统的设计。

 

 

第2章VHDL语言

2.1VHDL简介

VHDL的英文全名是Very-High-SpeedIntegratedCircuitHardwareDescriptionLanguage,诞生于1982年。

1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言。

自IEEE公布了VHDL的标准版本,IEEE-1076(简称87版)之后,各EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。

此后VHDL在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准的硬件描述语言。

1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,公布了新版本的VHDL,即IEEE标准的1076-1993版本,(简称93版)。

现在,VHDL和Verilog作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。

有专家认为,在新的世纪中,VHDL于Verilog语言将承担起大部分的数字系统设计任务。

随着VLSI、EDA(ElectronicDesignAutomation)工具的迅速发展,用户系统的设计从单纯的ASIC(ApplicationSpecificIntegratedCircuit)设计向着系统单片化SOC(SystemOnaChip)设计的方向发展。

同时网络技术的发展,共享IP知识产权的开放式系统设计成为新模式,芯片工艺物理设计与系统设计相分离,使用户系统设计人员可直接从事芯片设计。

多种技术的融合,系统的功能复合化程度越来越高;对系统设计方法学和工具的要求更高;系统设计日趋软件硬化、硬件软化,并使两者得到了有机的融合,形成了更为强大的ESDA(ElectronicSystemDesignAutomation)。

VHDL(VeryHighSpeedIntegratedCircuitHardwareDescriptionLanguage)是IEEE(InstituteofElectricalandElectronicsEngineers)标准的硬件描述语言,是现代电子系统设计的首选硬件设计计算机语言。

本篇介绍VHDL的语法基础、用VHDL进行系统设计的基本方法、以及VHDL的设计实例等。

从宏观的角度看,VHDL的语法构成了程序的各组成部分;微观上看VHDL的语法是各种语句的运用细节。

本章在VHDL的特性之后,从这两个角度简要介绍VHDL的语法基础。

硬件描述语言HDL(HardwareDescriptionLanguage)诞生于1962年。

HDL是用形式化的方法描述数字电路和设计数字逻辑系统的语言。

主要用于描述离散电子系统的结构和行为。

与SDL(SoftwareDescriptionLanguage)相似,经历了从机器码(晶体管和焊接)、汇编(网表)、到高级语言(HDL)的过程。

20世纪80年代美国国防部开发VeryHighSpeedIntegratedCircuit—VHSIC,用于描述集成电路的结构和功能。

此后,硬件描述语言向标准化方向发展,1987年成为IEEEStandard1076,称为VHDL语言。

它也是美国国防部标准(MIL-STD-454L)。

1993年该标准增修为IEEE1164标准。

1996年,再次加入电路合成的标准程序和规格,成为IEEE1076.3标准。

2.2VHDL语言的特点及应用

应用VHDL进行系统设计,有以下几方面的特点。

(1)功能强大。

VHDL具有功能强大的语言结构。

它可以用明确的代码描述复杂的控制逻辑设计。

并且具有多层次的设计描述功能,支持设计库和可重复使用的元件生成。

VHDL是一种设计、仿真和综合的标准硬件描述语言。

(2)可移植性。

VHDL语言是一个标准语言,其设计描述可以为不同的EDA工具支持。

它可以从一个仿真工具移植到另一个仿真工具,从一个综合工具移植到另一个综合工具,从一个工作平台移植到另一个工作平台。

此外,通过更换库再重新综合很容易移植为ASIC设计。

(3)独立性。

VHDL的硬件描述与具体的工艺技术和硬件结构无关。

设计者可以不懂硬件的结构,也不必管最终设计实现的目标器件是什么,而进行独立的设计。

程序设计的硬件目标器件有广阔的选择范围,可以是各系列的CPLD、FPGA及各种门阵列器件。

(4)可操作性。

由于VHDL具有类属描述语句和子程序调用等功能,对于已完成的设计,在不改变源程序的条件下,只需改变端口类属参量或函数,就能轻易地改变设计的规模和结构。

(5)灵活性。

VHDL最初是作为一种仿真标准格式出现的,有着丰富的仿真语句和库函数。

使其在任何大系统的设计中,随时可对设计进行仿真模拟。

所以,即使在远离门级的高层次(即使设计尚未完成时),设计者就能够对整个工程设计的结构和功能的可行性进行查验,并做出决策。

VHDL主要用于描述数字系统的结构,行为,功能和接口。

除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。

VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。

在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。

这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。

VHDL是一种标准的硬件电路设计语言,目前已成为广大电路设计人员设计数字系统首选的开发工具。

基于VHDL硬件电路语言的编程与实际应用技巧,VHDL硬件电路语言在微机、通信、编码、存储器以及电子电路等方面的具体设计应用,具有实际的指导意义。

VHDL语言是一种用于电路设计的高级语言。

它在80年代的后期出现。

最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言 。

但是,由于它在一定程度上满足了当时的设计需求,于是他在1987年成为ANSI/IEEE的标准(IEEE STD 1076-1987)。

1993年更进一步修订,变得更加完备,成为ANSI/IEEE的ANSI/IEEE STD 1076-1993标准。

目前,大多数的CAD厂商出品的EDA软件都兼容了这种标准。

VHDL的英文全写是:

VHSIC(Very High Speed Integrated Circuit)Hardware Descriptiong Language.翻译成中文就是超高速集成电路硬件描述语言。

因此它的应用主要是应用在数字电路的设计中。

目前,它在中国的应用多数是用在FPGA/CPLD/EPLD的设计中。

当然在一些实力较为雄厚的单位,它也被用来设计ASIC。

  关于用VHDL和原理图输入进行CPLD/FPGA设计的粗略比较:

在设计中,如果采用原理图输入的设计方式是比较直观的。

你要设计的是什么,你就直接从库中调出来用就行了。

这样比较符合人们的习惯。

但是这样做需要设计人员要在两方面有较高的素质:

对电路的知识要比较丰富;对CPLD/FPGA的结构比较熟悉。

  有了这两个条件才能在设计的过程中选用适当的器件从而提高设计的可靠性、提高器件的利用率及缩短设计的周期。

但是有一个重大的问题是在于,如果你的产品有所改动,需要采用另外的CPLD/FPGA时,你将需要重新输入原理图。

(改用不同的器件在今天这种竞争环境下是会经常发生的。

头儿们为了提高产品的性能或者是降低产品的造价,提高保密性等等,都会考虑选用不同的器件。

对他们而言只是做出一个决定,对我们而言却是要我们付出更多的心血)。

  但是当你采用VHDL等高级语言来设计时这些问题都会得到较好的解决。

由于在使用VHDL等高级语言时,有专用的工具来实现将语言描述的电路功能转换为实际的电路所以你就用不着对底层的电路很熟悉,也用不着对CPLD/FPGA的结构很熟悉(因为有专用的工具针对你的描述采用相应的器件哦)。

当你要换器件时,你只需要将原来设计好的VDHL文件在新器件的设计工具中再次实现就行了。

  在用高级语言来设计电路时,主要的过程是这样的:

  

(1)使用文本编辑器输入设计源文件(你可以使用任何一种文本编辑器。

但是,为了提高输入的效率,你可以用某些专用的编辑器,如:

Hdl Editor,Tubor Writer或者一些EDA工具软件集成的HDL编辑器)。

 

  

(2)使用编译工具编译源文件。

VHDL的编译器有很多,ACTIVE公司,MODELSIM公司,SYNPLICITY公司,SYNOPSYS公司,VERIBEST公司等都有自己的编译器。

 

  (3)(可选步骤)功能仿真。

对于某些人而言,仿真这一步似乎是可有可无的。

但是对于一个可靠的设计而言,任何设计最好都进行仿真,以保证设计的可靠性。

另外,对于作为一个独立的设计项目而言,仿真文件的提供足可以证明你设计的完整性。

 

  (4)综合。

综合的目的是在于将设计的源文件由语言转换为实际的电路。

(但是此时还没有在芯片中形成真正的电路。

这一步就好像是把人的脑海中的电路画成原理图。

--这是我的个人观点,似乎在好多文献中都没有提到“综合”的准确定义。

至少,我读过的几本书中就没有。

)这一部的最终目的是生成门电路级的网表(Netlist)。

 

(5)布局、布线。

这一步的目的是生成用于烧写(编程Programming)的编程文件。

在这一步,将用到第4步生成的网表并根据CPLD/FPG厂商的器件容量,结构等进行布局、布线。

这就好像在设计PCB时的布局布线一样。

先将各个设计中的门根据网表的内容和器件的结构放在器件的特定部位。

然后,在根据网表中提供的各门的连接,把各个门的输入输出连接起来。

最后,生成一个供编程的文件。

这一步同时还会加一些时序信息(Timing)到你的设计项目中去,以便与你做后仿真。

 

(6)后仿真。

这一步主要是为了确定你的设计在经过布局布线之后,是不是还满足你的设计要求。

(7)烧写器件(编程)。

随着EDA技术的发展,使用硬件语言设计PLD/FPGA成为一种趋势。

目前最主要的硬件描述语言是VHDL和VerilogHDL。

VHDL发展的较早,语法严格,而VerilogHDL是在C语言的基础上发展起来的一种硬件描述语言,语法较自由。

VHDL和VerilogHDL两者相比,VHDL的书写规则比Verilog烦琐一些,但verilog自由的语法也容易让少数初学者出错。

国外电子专业很多会在本科阶段教授VHDL,在研究生阶段教授verilog。

从国内来看,VHDL的参考书很多,便于查找资料,而VerilogHDL的参考书相对较少,这给学习VerilogHDL带来一些困难。

从EDA技术的发展上看,已出现用于CPLD/FPGA设计的硬件C语言编译软件,虽然还不成熟,应用极少,但它有可能会成为继VHDL和Verilog之后,设计大规模CPLD/FPGA的又一种手段。

应用VHDL进行工程设计的优点是多方面的:

 

(1)与其他的硬件描述语言相比,VHDL具有更强的行为描述能力,从而决定他成为系统设计领域最佳的硬件描述语言。

强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。

(2)VHDL丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。

(3)VHDL语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。

符合市场需求的大规模系统高效,高速的完成必须有多人甚至多个代发组共同并行工作才能实现。

(4)对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动的把VHDL描述设计转变成门级网表。

(5)VHDL对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计.

任何新生事物的产生都有它的历史沿革,早期的硬件描述语言是以一种高级语言为基础,加上一些特殊的约定而产生的,目的是为了实现RTL级仿真,用以验证设计的正确性,而不必像在传统的手工设计过程中那样,必须等到完成样机后才能进行实测和调试。

VHDL就是在用用最广泛的C语言的基础上发展起来的一种件描述语言,它是由GDA(GatewayDesignAutomation)公司的PhilMoorby在1983年末首创的,最初只设计了一个仿真与验证工具,之后又陆续开发了相关的故障模拟与时序分析工具。

1985年Moorby推出它的第三个商用仿真器Verilog-XL,获得了巨大

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 工程科技 > 能源化工

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2