汽车尾灯控制器设计报告.docx

上传人:b****2 文档编号:3227888 上传时间:2023-05-05 格式:DOCX 页数:11 大小:56.80KB
下载 相关 举报
汽车尾灯控制器设计报告.docx_第1页
第1页 / 共11页
汽车尾灯控制器设计报告.docx_第2页
第2页 / 共11页
汽车尾灯控制器设计报告.docx_第3页
第3页 / 共11页
汽车尾灯控制器设计报告.docx_第4页
第4页 / 共11页
汽车尾灯控制器设计报告.docx_第5页
第5页 / 共11页
汽车尾灯控制器设计报告.docx_第6页
第6页 / 共11页
汽车尾灯控制器设计报告.docx_第7页
第7页 / 共11页
汽车尾灯控制器设计报告.docx_第8页
第8页 / 共11页
汽车尾灯控制器设计报告.docx_第9页
第9页 / 共11页
汽车尾灯控制器设计报告.docx_第10页
第10页 / 共11页
汽车尾灯控制器设计报告.docx_第11页
第11页 / 共11页
亲,该文档总共11页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

汽车尾灯控制器设计报告.docx

《汽车尾灯控制器设计报告.docx》由会员分享,可在线阅读,更多相关《汽车尾灯控制器设计报告.docx(11页珍藏版)》请在冰点文库上搜索。

汽车尾灯控制器设计报告.docx

汽车尾灯控制器设计报告

 

 

EDA课程设计报告

 

题目

汽车尾灯控制器

院系

电气信息工程系

专业

08通信工程

(1)班

组长

马霞霞

学号

20081501021

组员1

关文健

学号

20081501041

组员2

刘春明

学号

20081501027

组员3

宋亚宁

学号

20081501045

组员4

赵东杰

学号

20081501036

组员5

学号

组员6

学号

指导教师

杨彦斌

2011年06月24日

电气信息工程系课程设计总结报告

一、选题目的和意义:

现代的交通越来越发达,汽车已经走进寻常百姓之家,而汽车的安全问题也成了社会普遍关注的问题,而一个完美的汽车尾灯控制就成了关键。

汽车尾灯的闪烁的亮灭可以直观的显示出汽车正在行驶的状态,可以让路人和其他行驶车辆一目了然的知道该如何安全的在路上行走或者行驶。

根据汽车在路上行驶的不同状态来控制汽车尾灯的亮灭,而一切的控制都可以集成在一个小芯片上,这样可以减少很大空间,而且可以精确无误的显示行驶情况,可以减少很多交通事故。

通过EDA课程设计,对该课程有了更深入的了解,提高了动手能力,增加了对这门课程的兴趣,并把它运用到解决生活的实际问题中。

学生姓名

任务分工

学生姓名

任务分工

学生姓名

任务分工

马霞霞

编程

关文健

引脚绑定

赵东杰

程序调试

宋亚宁

时序仿真

刘春明

硬件测试

 

二、主要研究内容:

根据现代交通规则,汽车尾灯控制器应满足以下基本要求:

1.汽车正常使用是指示灯不亮2.汽车右转时,右侧的一盏灯亮3.汽车左转时,左侧的一盏灯亮4.汽车刹车时,左右两侧的指示灯同时亮5.汽车夜间行驶时,左右两侧的指示灯同时一直亮,供照明使用。

三、实验设计(相关原理框图):

1、汽车尾灯控制器就是一个状态机的实例。

当汽车正常行驶时所有指示灯都不亮;当汽车向右转弯时,汽车右侧的指示灯RD1亮;当汽车向左侧转弯时,汽车左侧的指示灯LD1亮;当汽车刹车时,汽车右侧的指示灯RD2和汽车左侧的指示灯LD2同时亮;当汽车在夜间行驶时,汽车右侧的指示灯RD3和汽车左侧的指示灯LD3同时一直亮。

通过设置系统的输入信号:

系统时钟信号CLK,汽车左转弯控制信号LEFT,汽车右转弯控制信号RIGHT,刹车信号BRAKE,夜间行驶信号NIGHT和系统的输出信号:

汽车左侧3盏指示灯LD1、LD2、LD3和汽车右侧3盏指示灯RD1、RD2、

汽车尾灯和汽车运行状态表如表1所示。

汽车尾灯和汽车运行状态表1-1

开关控制

汽车运行状态

右转尾灯

左转尾灯

S0S1S2

R1R2R3

L1L2L3

000

正常运行

灯灭

灯灭

001

左转弯

灯灭

L1灯亮

010

右转弯

R1灯亮

灯灭

011

临时刹车/检测

R2、L2灯同时亮

101

夜间行驶

R3、L3灯同时亮

 

四、设计的方法及步骤:

1各组成模块

实现的主要功能是通过开关控制从而实现汽车尾灯的点亮方式。

汽车尾灯控制器有4个模块组成,分别为:

汽车尾灯主控模块,左边灯控制模块和右边灯控制模块,以下介绍各模块的详细设计。

2汽车尾灯主控模块

汽车尾灯主控模块工作框图如图4.

1所示

 

图4.1主控模块工作框图

汽车尾灯主控模块由VHDL程序来实现,下面是其中的一段VHDL代码:

ARCHITECTUREARTOFCTRLIS

BEGIN

NIGHT_LED<=NIGHT;

BRAKE_LED<=BAKE;

PROCESS(LEFT,RIGHT)

VARIABLETEMP:

STD_LOGIC_VECTOR(1DOWNTO0);

BEGIN

TEMP:

=LEFT&RIGHT;

CASETEMPIS

WHEN"00"=>LP<='0';RP<='0';LR<='0';

WHEN"01"=>LP<='0';RP<='1';LR<='0';

WHEN"10"=>LP<='1';RP<='0';LR<='0';

WHENOTHERS=>LP<='0';RP<='0';LR<='1';

ENDCASE;

ENDPROCESS;

ENDART;

4左边灯控制模块

左边灯控制模块的工作框图如图4.2所示

 

图4.2左边灯控制模块的工作框图

左边灯控制模块由VHDL程序来实现,下面是其中的一段VHDL代码:

ARCHITECTUREARTOFLCIS

BEGIN

LEDB<=BRAKE;

LEDN<=NIGHT;

PROCESS(CLK,LP,LR)

BEGIN

IFCLK'EVENTANDCLK='1'THEN

IF(LR='0')THEN

IF(LP='0')THEN

LEDL<='0';

ELSE

LEDL<='1';

ENDIF;

ELSE

LEDL<='0';

ENDIF;

ENDIF;

ENDPROCESS;

ENDART;

5右边灯控制模块

右边灯控制模块的工作框图如图4.3所示

 

图4.3右边灯控制模块的工作框图

右边灯控制模块由VHDL程序来实现,下面是其中的一段VHDL代码:

ARCHITECTUREARTOFRCIS

BEGIN

LEDB<=BRAKE;

LEDN<=NIGHT;

PROCESS(CLK,RP,LR)

BEGIN

IFCLK'EVENTANDCLK='1'THEN

IF(LR='0')THEN

IF(RP='0')THEN

LEDR<='0';

ELSE

LEDR<='1';

ENDIF;

ELSE

LEDR<='0';

ENDIF;

ENDIF;

ENDPROCESS;

ENDART;

五、结果及讨论:

1车尾灯主控模块仿真及分析

汽车尾灯主控模块由VHDL程序实现后,其仿真图如图所示。

 

表示右转,LEFT为1表示左转,NIGHT为1表示夜间行路,BRAKE为1表示刹车。

RP,LP,NIGHT_LED,BRAKE_LED为输出信号。

如图所示:

当RIGHT为1时,产生一个RP为1的信号脉冲输出,当LEFT为1时,产生一个LP为1的信号脉冲输出,当NIGHT为1时,产生一个NIGHT_LED为1的信号脉冲输出。

当BRAKE为1时,产生一个BRAKE_LED为1的信号脉冲输出。

2边灯控制模块仿真及分析

左边灯控制模块由VHDL程序实现后,其仿真图如下图所示。

对时序仿真图进行分析:

LP,LR,NIGHT,BRAKE为输入信号,LP为1表示左转,LR为1表示右转,NIGHT为1表示夜间行路,BRAKE为1表示刹车。

LEDL,LEDB,LEDN为输出信号,表示汽车左侧的三盏灯。

如图所示:

当LP为1时,LEDL输出为1表示左侧灯亮,当BRAKE为1时,LEDB输出为1表示左侧灯亮,当NIGHT为1时,LEDN输出为1表示左侧灯亮。

当LR为1时,左侧三盏灯输出均为0。

即没有灯亮。

 

为输出信号,表示汽车右侧的三盏灯。

如图所示:

当RP为1时,LEDR输出为1表示右侧灯亮,当BRAKE为1时,LEDB输出为1表示右侧灯亮,当NIGHT为1时,LEDN输出为1表示右侧灯亮。

当LR为1时,右侧三盏灯输出均为0。

即没有灯亮。

3边灯控制模块仿真及分析

右边灯控制模块由VHDL程序实现后,其仿真图如图所示。

为输出信号,表示汽车右侧的三盏灯。

如图所示:

当RP为1时,LEDR输出为1表示右侧灯亮,当BRAKE为1时,LEDB输出为1表示右侧灯亮,当NIGHT为1时,LEDN输出为1表示右侧灯亮。

当LR为1时,右侧三盏灯输出均为0。

即没有灯亮。

4整体设计程序代码:

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

ENTITYWDKIS

PORT(CLK:

INSTD_LOGIC;

LEFT,RIGHT:

INSTD_LOGIC;

BRAKE,NIGHT:

INSTD_LOGIC;

LD1,LD2,LD3:

OUTSTD_LOGIC;

RD1,RD2,RD3:

OUTSTD_LOGIC);

END;

ARCHITECTUREONEOFWDKIS

BEGIN

LD2<=BRAKE;RD2<=BRAKE;

LD3<=NIGHT;RD3<=NIGHT;

PROCESS(CLK)

VARIABLETD:

STD_LOGIC_VECTOR(1DOWNTO0);

BEGIN

IFCLK'EVENTANDCLK='1'THEN

TD:

=RIGHT&LEFT;

CASETDIS

WHEN"00"=>LD1<='0';RD1<='0';

WHEN"01"=>LD1<='1';RD1<='0';

WHEN"10"=>LD1<='0';RD1<='1';

WHENOTHERS=>LD1<='0';RD1<='0';

ENDCASE;

ENDIF;

ENDPROCESS;

END;

对时序仿真图进行分析:

RIGHT,LEFT,NIGHT,BRAKE为输入信号,RIGHT为1表示右转,LEFT为1表示左转,NIGHT为1表示夜间行路,BRAKE为1表示刹车。

RD1,RD2,RD3为输出信号,表示汽车右侧的三盏灯。

LD1,LD2,LD3为输出信号,表示汽车左侧的三盏灯。

如图所示:

当RIGHT为1时,RD1输出为1表示右侧灯亮,当LEFT为1时,LD1为输出为1表示左侧灯亮,当NIGHT为1时,LD2,RD2输出均为1,表示左,右两侧各有一盏灯亮。

当BRAKE为1时,LD3,RD3输出均为1,表示左,右两侧各有一盏灯亮。

 

整体系统时序仿真图

六、指导教师的意见:

签名:

年月日

成绩评定:

学生姓名

成绩

学生姓名

成绩

学生姓名

成绩

参考文献:

[1]黄正谨,徐坚等,2002.CPLD系统设计技术入门与应用。

北京:

电子工业出版社

[2]蒋璇,臧春华.2001.数字系统设计与PLD应用技术.北京:

电子工业出版社

[3]孟宪元.1988.可编程ASIC集成数字系统.北京:

电子工业出版社

[4]宋万杰,罗丰,吴顺君.2000.CPLD技术及其应用.西安:

西安电子工业大学出版社

[5]黄仁新.2006.EDA技术实用教程.北京:

清华大学出版社

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 解决方案 > 学习计划

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2