智能洗衣机控制器设计verilog编程.docx

上传人:b****1 文档编号:3485587 上传时间:2023-05-05 格式:DOCX 页数:14 大小:147.70KB
下载 相关 举报
智能洗衣机控制器设计verilog编程.docx_第1页
第1页 / 共14页
智能洗衣机控制器设计verilog编程.docx_第2页
第2页 / 共14页
智能洗衣机控制器设计verilog编程.docx_第3页
第3页 / 共14页
智能洗衣机控制器设计verilog编程.docx_第4页
第4页 / 共14页
智能洗衣机控制器设计verilog编程.docx_第5页
第5页 / 共14页
智能洗衣机控制器设计verilog编程.docx_第6页
第6页 / 共14页
智能洗衣机控制器设计verilog编程.docx_第7页
第7页 / 共14页
智能洗衣机控制器设计verilog编程.docx_第8页
第8页 / 共14页
智能洗衣机控制器设计verilog编程.docx_第9页
第9页 / 共14页
智能洗衣机控制器设计verilog编程.docx_第10页
第10页 / 共14页
智能洗衣机控制器设计verilog编程.docx_第11页
第11页 / 共14页
智能洗衣机控制器设计verilog编程.docx_第12页
第12页 / 共14页
智能洗衣机控制器设计verilog编程.docx_第13页
第13页 / 共14页
智能洗衣机控制器设计verilog编程.docx_第14页
第14页 / 共14页
亲,该文档总共14页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

智能洗衣机控制器设计verilog编程.docx

《智能洗衣机控制器设计verilog编程.docx》由会员分享,可在线阅读,更多相关《智能洗衣机控制器设计verilog编程.docx(14页珍藏版)》请在冰点文库上搜索。

智能洗衣机控制器设计verilog编程.docx

智能洗衣机控制器设计verilog编程

智能洗衣机控制器设计报告

鑫鑫出品

 

2010-3-18

 

一.设计背景……………………………………………………………2

二.功能简介

基本功能…………………………………………………………2

扩展功能…………………………………………………………3

三.模块设计及端口设置

主模块main………………………………………………………3

工作模式设定模块mode…………………………………………4

进水量设定模块Water_t…………………………………………4

无供水信号产生模块waterless…………………………………5

危险信号产生模块Unsafe………………………………………5

洗衣过程控制模块wash…………………………………………6

报警模块alart……………………………………………………7

LED灯状态模块LEDs………………………………………………7

模块级连线图………………………………………………………8

四.电路工作原理………………………………………………………9

以s的值为主要状态标志的基本工作流程图…………………10

五.Verilog源程序及注释……………………………………………11

六.小结…………………………………………………………………18

 

一.设计背景。

如今,带有微型控制芯片的家用电器迅速普及。

通过用户简单的设定,这些智能家电能自动完成家务,并向用户及时提供反馈信息。

这极大地方便了人们的生活。

智能洗衣机是智能家电的代表。

用户只需按几个键,智能洗衣机就能自动完成注水、洗衣、排水、甩干等一系列工作,并在发生事故时给予用户报警并作出正确反应,极大减轻用户的洗衣负担,同时保障用户安全。

基于以上功能要求,本人进行了智能洗衣机控制器的设计,并作出此设计报告。

二.功能简介。

基本功能:

a.可选5种洗衣模式,列举如下

1>强力洗衣模式:

工作程序为,注水->洗衣->排水->甩干

->注水->漂洗->排水->甩干

->注水->漂洗->排水->甩干。

其中洗衣为30分钟;

2>普通洗衣模式:

工作程序为,注水->洗衣->排水->甩干

->注水->漂洗->排水->甩干

->注水->漂洗->排水->甩干。

其中洗衣为20分钟;

3>强力洗衣模式:

工作程序为,注水->洗衣->排水->甩干

->注水->漂洗->排水->甩干

->注水->漂洗->排水->甩干。

其中洗衣为10分钟;

4>漂洗模式:

工作程序为,注水->漂洗->排水->甩干

->注水->漂洗->排水->甩干。

5>甩干模式:

工作程序为,甩干。

以上五种模式中,进水时间为1-3分钟,漂洗为5分钟,

排水2分钟,甩干3分钟。

b.显示洗衣完成所需时间。

c.用不同LED灯显示洗衣机当前的工作状态(注水、洗衣、排水、甩干)。

d.完成洗衣任务时蜂鸣3声提示。

扩展功能:

a.进水量可调。

根据衣物的多少,进水时间有1分钟、2分钟、3分钟三个档位,并用一个数码管显示所设时间。

b.进水时无水报警。

蜂鸣器发出2秒的报警声,并中止洗衣工作。

供水正常后恢复工作。

c.甩干时洗衣机盖被打开则报警。

2秒报警声,同时中止甩干操作。

重新盖上盖子后恢复工作。

d.有按键音。

e.设有暂停/继续键。

可随时按下暂停洗衣机的工作。

再次按下则继续工作。

f.用两个LED灯标志给电机的控制信号。

在洗衣或漂洗时交替闪亮,象征电机顺时针/逆时针转动的转换。

g.用数码管显示当前工作状态(注水、洗衣、排水、甩干)所剩时间。

三.模块设计及端口设置。

为完成预定功能,设置1个主模块,7个子模块;使用2个时钟信号端口,6个按键,6个数码管和7个LED。

主模块main:

调用其他模块,共同完成预定功能。

输入端口:

CP_1k,CP:

分别为1kHz和1Hz方波,分别用于产生蜂鸣信号和系统状态转换及计时的时钟信号;

Start,Pause:

开始键和暂停/继续键;

Set,Speed:

功能设定,分别用来设定工作模式和进水量;

Open,No_water:

警报信号,用来模拟打开洗衣机盖和供水中断

事件的发生。

输出端口:

m[3:

0],v[3:

0]:

数码管显示信号,分别指示工作模式和进水量;

Timer[7:

0],Timer_a[7:

0]:

数码管显示信号,分别表示当前过程

剩余时间和完成所有工作剩余时间。

LED_s,LED_wi,LED_wo,LED_w,LED_d和LED_l,LED_r:

LED驱动信号,分别指示正在工作、进水、出水、洗衣、

甩干和电机的顺时针、逆时针旋转状态。

a:

蜂鸣信号。

用于报警、提示有键按下和洗衣完成。

工作模式设定模块mode:

设定工作模式。

输入端口:

Set:

设定工作模式模式的按键;

输出端口:

m[3:

0]:

所设定的模式,BCD码,可取1到5的整数;

进水量设定模块Water_t:

设定进水量。

输入端口:

Speed:

设定进水量,按键;

输出端口:

V[3:

0]:

所设定的进水时间,BCD码,可取取1到3的整数;

 

无供水信号产生模块waterless:

产生无水报警信号。

输入端口:

No_water:

供水中断/恢复信号,按键;

输出端口:

water_out:

供水情况指示量,值为1表示无供水,0表示

供水正常;

危险信号产生模块Unsafe:

产生危险报警信号。

输入端口:

Open:

洗衣机盖开/合信号,按键;

输出端口:

danger:

洗衣机盖状态指示量,值为1表示打开,0表示关闭;

 

洗衣过程控制模块wash:

控制洗衣过程的转换。

输入端口:

CP:

1Hz时钟信号,来自时钟信号发生器;

Start:

开始工作命令信号,按键;

m:

工作模式,来自模块mode;

v:

进水时间,来自模块Water_t;

water_out:

供水情况,来自模块waterless;

danger:

危险状态,来自模块Unsafe;

tp:

暂停/继续的命令信号;

输出端口:

p:

运行/暂停工作状态量,1表示正在运行,0表示暂停;

finish:

工作结束状态量,由0变为1表示工作结束;

timer[7:

0]:

当前工作过程剩余时间,向上传给main中的Timer;

timer_a[7:

0]:

完成全部工作剩余时间,传给main中的Timer_a;

s[2:

0]:

工作状态指示量,从0到5分别指示进水、洗衣、出水、

甩干和完成信号有效;

c[2:

0]:

取1到4,表示当前工作是第几轮;

 

报警模块alart:

产生报警蜂鸣信号。

输入端口:

CP_1k:

1kHz方波信号,用于产生蜂鸣信号,来自信号发生器;

CP:

1Hz方波信号,用于产生间断的蜂鸣信号,来自信号发生器;

Start,Set,Pause,Speed:

按键音有效信号。

另外Pause还用于突

发情况时人为控制暂停/继续的控制键;

No_water,water_out,Open,danger:

控制报警音开始/结束的信号,来自模块waterless和Unsafe;

finish:

完成工作后提示音有效信号,来自模块wash;

输入端口:

a:

报警音驱动信号

tp:

暂停/继续信号。

tp=1时暂停,tp=0时继续。

LED灯状态模块LEDs:

控制LED的亮灭。

输入端口:

s,c:

洗衣机的工作状态指示量,用作那个灯亮的判断条件,来自模块wash;

p:

运行/暂停状态标志量,用于暂停时的闪亮效果,来自模块alart;

CP:

1Hz方波信号,造成闪亮效果,来自信号发生器。

输出端口:

LED指示灯驱动信号。

LED_wi:

进水;LED_wo:

出水;LED_w:

洗衣;LED_d:

甩干;LED_s:

正在运行;LED_l:

电机顺时针转;LED_r:

逆时针转。

用Leonardo综合出的模块级连线图如下:

以上子模块端口具体用途在电工作原理中涉及。

四.电路工作原理。

下面按电路的使用过程对电路工作原理进行描述。

1.按下Set键,在Set上升沿作用下,mode模块被调用,执行的操作是工作模式m增加1。

其状态变化如下:

设定好工作模式后,按Speed键设定进水时间,water_t模块被调用,执行的操作是进水时间v增加1。

其变化原理与m类似,只是变化范围是1-3分钟。

2.设定完毕,按Start键,洗衣过程开始,这时是wash模块被调用,wash模块中,由状态变量c记录洗衣的轮数(以进水到甩干为一轮),状态变量s代表当前的工作方式,即s=0为进水,s=1为洗衣,s=2为排水,s=3为甩干,s=4时进行完成提示音信号的延时,其状态转变流程如下:

其中,ok=1是上一任务完成的标志,模式1,2,3中,c初值为4。

轮回3次后c=1,s由3变为4,finish置1有效,完成提示音响(由modulealart实现),同时延时计时器启动,计时完毕后,finish=0,提示音停。

s=4状态的工作完成后,ok=0,s保持,直到下次启动(Start)再初始化。

 

以s的值为主要状态标志的基本工作流程图:

3.报警功能,主要由alart模块实现。

按No_water键,供水中断信号water_out=1有效。

如果这时正在进水,则置c_e=1使报警音延时计时器开始计时,同时c_t=1使报警信号有效,开始发出报警音。

延时器计时完毕,c_e、c_t复位,报警音关闭,报警结束。

但进水工作仍处于中断状态。

只有再此按下No_water键,表示供水恢复,则继续进水。

甩干过程中由Open键触发的洗衣机盖被打开的报警原理同上。

工作结束后的提示音由输入的finish信号控制。

finish会有3个CP有效,和CP相与后,产生三声提示音。

暂停功能也有alart模块参与,按Pause键后,tp变化,会导致暂停有效信号取反,实现暂停/继续的功能。

等指示状态

共用到7个LED来代表系统的工作状态。

其驱动信号有效与否由系统目前的工作状态来判断。

其具体代表的状态和实现方法已在端口介绍和源程序注释中说明,不另赘述。

五.Verilog源程序及注释。

1.主模块main:

modulemain(CP_1k,CP,Start,Pause,Set,Speed,Open,No_water,Timer,Timer_a,m,v,

LED_wi,LED_wo,LED_w,LED_d,LED_s,LED_l,LED_r,a);

inputCP_1k,CP,Start,Pause,Set,Speed,Open,No_water;式选择模块mode:

modulemode(Set,m);

inputSet;水时间设定模块water_t:

moduleWater_t(Speed,v);

inputSpeed;衣过程控制模块wash:

modulewash(CP,Start,m,v,water_out,danger,tp,p,finish,timer,timer_a,s,c);

inputCP,Start,tp,water_out,danger;水情况感应模块Waterless:

modulewaterless(No_water,water_out);

inputNo_water;衣机盖开合状态感应模块Unsafe:

moduleUnsafe(Open,danger);

inputOpen;警模块alart:

modulealart(CP_1k,CP,Start,Set,Pause,Speed,No_water,water_out,Open,danger,

finish,s,a,tp);

inputCP_1k,CP,Start,Set,Pause,Speed,No_water,water_out,Open,danger,finish;

//输入时钟信号及报警音控制信号

input[2:

0]s;//输入当前工作状态

outputa,tp;//输出报警信号和暂停允许信号

regc_e,c_t,tp;

reg[2:

0]count;

always@(posedgePause)begin//暂停/继续键Pause上升沿触发下,

if(tp==1)tp=0;暂停允许信号改变;

elsetp=tp+1;

end

always@(posedgeCPorposedgeNo_waterorposedgeOpenorposedgeStart)begin

if(Start)c_e=0;//启动时,延时计时器使能位c_e复位为0

elseif(No_water|Open)begin

if((water_out==1&&s[2:

0]==3'b000)||(danger==1&&s[2:

0]==3'b011))c_e=1;

//发生危险事件,使能端c_e置1

elsec_e=0;

end

elseif(CP)begin//c_e=1,以CP为时钟信号开始计时

if(count[2:

0]==3'b010)beginc_t=0;c_e=0;count[2:

0]=0;end

elseif(c_e==1)begincount[2:

0]=count[2:

0]+3'b001;c_t=1;end

//计时中,计时进行标志位c_t=1

elseif(c_e==0)count=0;

end

end

assigna=((c_t|CP&finish)|(Start|Set|Pause|Speed))&CP_1k;

//若警报延时计时器正在计时(c_t=1)或工作完成提示信号有效(finish=1)或有键按下(Start、Set、Pause、Speed)则发出相

应报警音。

endmodule

驱动模块LEDs:

moduleLEDs(s,p,c,CP,LED_wi,LED_wo,LED_w,LED_d,LED_s,LED_l,LED_r);

inputp,CP;//输入暂停允许信号p、时钟信号CP

input[2:

0]s,c;//输入工作状态标志位s、c

outputLED_wi,LED_wo,LED_w,LED_d,LED_s,LED_l,LED_r;

//输出各LED灯驱动电平

assignLED_wi=p(s==3'b000&&c>1):

CP&(s==3'b000&&c>0);

//进水时,LED_wi灯亮;暂停时闪烁

assignLED_w=p(s==3'b001&&c>1):

CP&(s==3'b001&&c>1);

//洗衣时,LED_w灯亮;暂停时闪烁

assignLED_wo=p(s==3'b010&&c>1):

CP&(s==3'b010&&c>0);

//排水时,LED_wo灯亮;暂停时闪烁

assignLED_d=p(s==3'b011&&c>1):

CP&(s==3'b011&&c>0);

//甩干时,LED_wi灯亮;暂停时闪烁

assignLED_s=p(s!

=3'b100&&c>1):

CP&(s!

=3'b100&&c>1);

//运行时,LED_s灯亮;暂停时闪烁

assignLED_l=((s==3'b001||s==3'b011)&&c>1)&p&CP;

assignLED_r=(s==3'b001&&c>1)&p&!

CP;

//LED_l与LED_r交替亮,表示电机的换向

endmodule

 

六.小结。

本着尝试的心态,我摸索着使用Quartus2软件进行本次设计。

与之前使用的Maxplus2相比,所用到的基本功能相似。

在程序调试仿真过程中,我体会到Quartus2功能更为强大。

它的报错比较准确,更有利于debug。

而且Quartus2对程序语法的要求更严格。

比如在以A、B两信号触发的模块中,最上层的条件判断语句必须以A、B的状态为判断依据,如果写个if(c==0)之类的,即使逻辑上没有冲突,编译程序也会报错。

而maxplus2没有这么严格。

这样虽然写程序时要注意很多东西,会“麻烦”些,但正规的语法更能保障程序在实践中的可行性。

本次设计的基本功能在第一周就实现,而对扩展功能的编写、调试则花了近两周。

可见功能变复杂,实现起来会成倍的复杂。

还好我前期的框架设计的比较好,避免了推倒重来的情况发生。

对于本次设计的具体内容,个人觉得对洗衣机工作状态的划分和状态之间转变条件的把握是设计成功的难点和关键。

我见过其他选此题目同学的编程思路,他们基本是按模式确定总工作时间,开始倒计时,计到一定数段,点亮响应的LED灯。

我个人觉得这更像一个秒表而不是洗衣机智能控制器。

而不以总时间为设计基础,针对洗衣机工作状态及其转换方式编程,更有效,也更易于功能扩展。

将模式1—3理解为洗衣3个轮回,模式4是2个轮回,而每个轮回(周期)包含类似的几个状态,这是这类设计的精华所在。

本设计中最多洗3个轮回,两种设计思路难分伯仲,但如果这个控制器有成百上千次周期性运作,显然要采用基于状态转换的编程方法,否则需要一个很大的计数器,过程冗杂,浪费资源。

除了技术上的经验增长,本次设计的最大收获是又一次体会到设计工作的艰巨性。

任何一个小疏忽都可能造成很大的损失,整个设计过程要投入充分的时间精力,专注谨慎的做好每个环节。

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 党团工作 > 入党转正申请

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2