数码管实验3.docx

上传人:b****3 文档编号:4107868 上传时间:2023-05-06 格式:DOCX 页数:68 大小:230.97KB
下载 相关 举报
数码管实验3.docx_第1页
第1页 / 共68页
数码管实验3.docx_第2页
第2页 / 共68页
数码管实验3.docx_第3页
第3页 / 共68页
数码管实验3.docx_第4页
第4页 / 共68页
数码管实验3.docx_第5页
第5页 / 共68页
数码管实验3.docx_第6页
第6页 / 共68页
数码管实验3.docx_第7页
第7页 / 共68页
数码管实验3.docx_第8页
第8页 / 共68页
数码管实验3.docx_第9页
第9页 / 共68页
数码管实验3.docx_第10页
第10页 / 共68页
数码管实验3.docx_第11页
第11页 / 共68页
数码管实验3.docx_第12页
第12页 / 共68页
数码管实验3.docx_第13页
第13页 / 共68页
数码管实验3.docx_第14页
第14页 / 共68页
数码管实验3.docx_第15页
第15页 / 共68页
数码管实验3.docx_第16页
第16页 / 共68页
数码管实验3.docx_第17页
第17页 / 共68页
数码管实验3.docx_第18页
第18页 / 共68页
数码管实验3.docx_第19页
第19页 / 共68页
数码管实验3.docx_第20页
第20页 / 共68页
亲,该文档总共68页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

数码管实验3.docx

《数码管实验3.docx》由会员分享,可在线阅读,更多相关《数码管实验3.docx(68页珍藏版)》请在冰点文库上搜索。

数码管实验3.docx

数码管实验3

20.数字钟﹝★﹞

1.实验任务

(1.开机时,显示12:

00:

00的时间开始计时;

(2.P0.0/AD0控制“秒”的调整,每按一次加1秒;

(3.P0.1/AD1控制“分”的调整,每按一次加1分;

(4.P0.2/AD2控制“时”的调整,每按一次加1个小时;

2.电路原理图

图4.20.1

3.系统板上硬件连线

(1.把“单片机系统”区域中的P1.0-P1.7端口用8芯排线连接到“动态数码显示”区域中的A-H端口上;

(2.把“单片机系统:

区域中的P3.0-P3.7端口用8芯排线连接到“动态数码显示”区域中的S1-S8端口上;

(3.把“单片机系统”区域中的P0.0/AD0、P0.1/AD1、P0.2/AD2端口分别用导线连接到“独立式键盘”区域中的SP3、SP2、SP1端口上;

4.相关基本知识

(1.动态数码显示的方法

(2.独立式按键识别过程

(3.“时”,“分”,“秒”数据送出显示处理方法

5.程序框图

6.汇编源程序

SECONDEQU30H

MINITEEQU31H

HOUREQU32H

HOURKBITP0.0

MINITEKBITP0.1

SECONDKBITP0.2

DISPBUFEQU40H

DISPBITEQU48H

T2SCNTAEQU49H

T2SCNTBEQU4AH

TEMPEQU4BH

ORG00H

LJMPSTART

ORG0BH

LJMPINT_T0

START:

MOVSECOND,#00H

MOVMINITE,#00H

MOVHOUR,#12

MOVDISPBIT,#00H

MOVT2SCNTA,#00H

MOVT2SCNTB,#00H

MOVTEMP,#0FEH

LCALLDISP

MOVTMOD,#01H

MOVTH0,#(65536-2000)/256

MOVTL0,#(65536-2000)MOD256

SETBTR0

SETBET0

SETBEA

WT:

JBSECONDK,NK1

LCALLDELY10MS

JBSECONDK,NK1

INCSECOND

MOVA,SECOND

CJNEA,#60,NS60

MOVSECOND,#00H

NS60:

LCALLDISP

JNBSECONDK,$

NK1:

JBMINITEK,NK2

LCALLDELY10MS

JBMINITEK,NK2

INCMINITE

MOVA,MINITE

CJNEA,#60,NM60

MOVMINITE,#00H

NM60:

LCALLDISP

JNBMINITEK,$

NK2:

JBHOURK,NK3

LCALLDELY10MS

JBHOURK,NK3

INCHOUR

MOVA,HOUR

CJNEA,#24,NH24

MOVHOUR,#00H

NH24:

LCALLDISP

JNBHOURK,$

NK3:

LJMPWT

DELY10MS:

MOVR6,#10

D1:

MOVR7,#248

DJNZR7,$

DJNZR6,D1

RET

DISP:

MOVA,#DISPBUF

ADDA,#8

DECA

MOVR1,A

MOVA,HOUR

MOVB,#10

DIVAB

MOV@R1,A

DECR1

MOVA,B

MOV@R1,A

DECR1

MOVA,#10

MOV@R1,A

DECR1

MOVA,MINITE

MOVB,#10

DIVAB

MOV@R1,A

DECR1

MOVA,B

MOV@R1,A

DECR1

MOVA,#10

MOV@R1,A

DECR1

MOVA,SECOND

MOVB,#10

DIVAB

MOV@R1,A

DECR1

MOVA,B

MOV@R1,A

DECR1

RET

INT_T0:

MOVTH0,#(65536-2000)/256

MOVTL0,#(65536-2000)MOD256

MOVA,#DISPBUF

ADDA,DISPBIT

MOVR0,A

MOVA,@R0

MOVDPTR,#TABLE

MOVCA,@A+DPTR

MOVP1,A

MOVA,DISPBIT

MOVDPTR,#TAB

MOVCA,@A+DPTR

MOVP3,A

INCDISPBIT

MOVA,DISPBIT

CJNEA,#08H,KNA

MOVDISPBIT,#00H

KNA:

INCT2SCNTA

MOVA,T2SCNTA

CJNEA,#100,DONE

MOVT2SCNTA,#00H

INCT2SCNTB

MOVA,T2SCNTB

CJNEA,#05H,DONE

MOVT2SCNTB,#00H

INCSECOND

MOVA,SECOND

CJNEA,#60,NEXT

MOVSECOND,#00H

INCMINITE

MOVA,MINITE

CJNEA,#60,NEXT

MOVMINITE,#00H

INCHOUR

MOVA,HOUR

CJNEA,#24,NEXT

MOVHOUR,#00H

NEXT:

LCALLDISP

DONE:

RETI

TABLE:

DB3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FH,40H

TAB:

DB0FEH,0FDH,0FBH,0F7H,0EFH,0DFH,0BFH,07FH

END

7.C语言源程序

#include

unsignedcharcodedispcode[]={0x3f,0x06,0x5b,0x4f,

0x66,0x6d,0x7d,0x07,

0x7f,0x6f,0x77,0x7c,

0x39,0x5e,0x79,0x71,0x00};

unsignedchardispbitcode[]={0xfe,0xfd,0xfb,0xf7,

0xef,0xdf,0xbf,0x7f};

unsignedchardispbuf[8]={0,0,16,0,0,16,0,0};

unsignedchardispbitcnt;

unsignedcharsecond;

unsignedcharminite;

unsignedcharhour;

unsignedinttcnt;

unsignedcharmstcnt;

unsignedchari,j;

voidmain(void)

{

TMOD=0x02;

TH0=0x06;

TL0=0x06;

TR0=1;

ET0=1;

EA=1;

while

(1)

{

if(P0_0==0)

{

for(i=5;i>0;i--)

for(j=248;j>0;j--);

if(P0_0==0)

{

second++;

if(second==60)

{

second=0;

}

dispbuf[0]=second%10;

dispbuf[1]=second/10;

while(P0_0==0);

}

}

if(P0_1==0)

{

for(i=5;i>0;i--)

for(j=248;j>0;j--);

if(P0_1==0)

{

minite++;

if(minite==60)

{

minite=0;

}

dispbuf[3]=minite%10;

dispbuf[4]=minite/10;

while(P0_1==0);

}

}

if(P0_2==0)

{

for(i=5;i>0;i--)

for(j=248;j>0;j--);

if(P0_2==0)

{

hour++;

if(hour==24)

{

hour=0;

}

dispbuf[6]=hour%10;

dispbuf[7]=hour/10;

while(P0_2==0);

}

}

}

}

voidt0(void)interrupt1using0

{

mstcnt++;

if(mstcnt==8)

{

mstcnt=0;

P1=dispcode[dispbuf[dispbitcnt]];

P3=dispbitcode[dispbitcnt];

dispbitcnt++;

if(dispbitcnt==8)

{

dispbitcnt=0;

}

}

tcnt++;

if(tcnt==4000)

{

tcnt=0;

second++;

if(second==60)

{

second=0;

minite++;

if(minite==60)

{

minite=0;

hour++;

if(hour==24)

{

hour=0;

}

}

}

dispbuf[0]=second%10;

dispbuf[1]=second/10;

dispbuf[3]=minite%10;

dispbuf[4]=minite/10;

dispbuf[6]=hour%10;

dispbuf[7]=hour/10;

}

}

 

21.拉幕式数码显示技术

1.实验任务

用AT89S51单片机的P0.0/AD0-P0.7/AD7端口接数码管的a-h端,8位数码管的S1-S8通过74LS138译码器的Y0-Y7来控制选通每个数码管的位选端。

AT89S51单片机的P1.0-P1.2控制74LS138的A,B,C端子。

在8位数码管上从右向左循环显示“12345678”。

能够比较平滑地看到拉幕的效果。

2.电路原理图

图4.21.1

3.系统板上硬件连线

(1.把“单片机系统”区域中的P0.0/AD0-P0.7/AD7用8芯排线连接到“动态数码显示”区域中的a-h端口上;

(2.把“三八译码模块”区域中的Y0-Y7用8芯排线连接到“动态数码显示”区域中的S1-S8端口上;

(3.把“单片机系统”区域中的P1.0-P1.2端口用3根导线连接到“三八译码模块”区域中的A、B、C“端口上;

4.程序设计方法

(1.动态数码显示技术;如何进行动态扫描,由于一次只能让一个数码管显示,因此,要显示8位的数据,必须经过让数码管一个一个轮流显示才可以,同时每个数码管显示的时间大约在1ms到4ms之间,所以为了保证正确显示,我必须每隔1ms,就得刷新一个数码管。

而这刷新时间我们采用单片机的定时/计数器T0来控制,每定时1ms对数码管刷新一次,T0采用方式2。

(2.在进行数码显示的时候,要对显示单元开辟8个显示缓冲区,每个显示缓冲区装有显示的不同数据即可。

5.程序框图

主程序框图

中断服务程序框图

 

图4.21.2

6.汇编源程序

DISPBUFEQU30H

DISPCNTEQU38H

DISPBITEQU39H

T1CNTAEQU3AH

T1CNTBEQU3BH

CNTEQU3CH

ORG00H

LJMPSTART

ORG0BH

LJMPINT_T0

START:

MOVDISPCNT,#8

MOVA,#10

MOVR1,#DISPBUF

LP:

MOV@R1,A

INCR1

DJNZDISPCNT,LP

MOVDISPBIT,#00H

MOVT1CNTA,#00H

MOVT1CNTB,#00H

MOVCNT,#00H

MOVTMOD,#01H

MOVTH0,#(65536-1000)/256

MOVTL0,#(65536-1000)MOD256

SETBTR0

SETBET0

SETBEA

SJMP$

INT_T0:

MOVTH0,#(65536-1000)/256

MOVTL0,#(65536-1000)MOD256

MOVA,DISPBIT

ADDA,#DISPBUF

MOVR0,A

MOVA,@R0

MOVDPTR,#TABLE

MOVCA,@A+DPTR

MOVP0,A

MOVA,P1

ANLA,#0F8H

ADDA,DISPBIT

MOVP1,A

INCDISPBIT

MOVA,DISPBIT

CJNEA,#08H,NEXT

MOVDISPBIT,#00H

NEXT:

INCT1CNTA

MOVA,T1CNTA

CJNEA,#50,LL1

MOVT1CNTA,#00H

INCT1CNTB

MOVA,T1CNTB

CJNEA,#8,LL1

MOVT1CNTB,#00H

INCCNT

MOVA,CNT

CJNEA,#9,LLX

MOVCNT,#00H

MOVA,CNT

LLX:

CJNEA,#01H,NEX1

MOV30H,#8

LL1:

LJMPDONE

NEX1:

CJNEA,#02H,NEX2

MOV31H,#8

MOV30H,#8

LJMPDONE

NEX2:

CJNEA,#03H,NEX3

MOV32H,#8

MOV31H,#8

MOV30H,#8

LJMPDONE

NEX3:

CJNEA,#04H,NEX4

MOV33H,#8

MOV32H,#8

MOV31H,#8

MOV30H,#8

LJMPDONE

NEX4:

CJNEA,#05H,NEX5

MOV34H,#8

MOV33H,#8

MOV32H,#8

MOV31H,#8

MOV30H,#8

LJMPDONE

NEX5:

CJNEA,#06H,NEX6

MOV35H,#8

MOV34H,#8

MOV33H,#8

MOV32H,#8

MOV31H,#8

MOV30H,#8

LJMPDONE

NEX6:

CJNEA,#07H,NEX7

MOV36H,#8

MOV35H,#8

MOV34H,#8

MOV33H,#8

MOV32H,#8

MOV31H,#8

MOV30H,#8

LJMPDONE

NEX7:

CJNEA,#08H,NEX8

MOV37H,#8

MOV36H,#8

MOV35H,#8

MOV34H,#8

MOV33H,#8

MOV32H,#8

MOV31H,#8

MOV30H,#8

LJMPDONE

NEX8:

CJNEA,#00H,DONE

MOV37H,#10

MOV36H,#10

MOV35H,#10

MOV34H,#10

MOV33H,#10

MOV32H,#10

MOV31H,#10

MOV30H,#10

LL:

LJMPDONE

DONE:

RETI

TABLE:

DB3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FH,00H

END

7.C语言源程序

#include

unsignedcharcodedispcode[]={0x3f,0x06,0x5b,0x4f,

0x66,0x6d,0x7d,0x07,

0x7f,0x6f,0x77,0x7c,

0x39,0x5e,0x79,0x71,0x00};

unsignedchardispbitcode[]={0xf8,0xf9,0xfa,0xfb,

0xfc,0xfd,0xfe,0xff};

unsignedchardispbuf[8]={16,16,16,16,16,16,16,16};

unsignedchardispbitcnt;

unsignedintt02scnt;

unsignedchart5mscnt;

unsignedcharu;

unsignedchari;

voidmain(void)

{

TMOD=0x02;

TH0=0x06;

TL0=0x06;

TR0=1;

ET0=1;

EA=1;

while

(1);

}

voidt0(void)interrupt1using0

{

t5mscnt++;

if(t5mscnt==4)

{

t5mscnt=0;

P0=dispcode[dispbuf[dispbitcnt]];

P1=dispbitcode[dispbitcnt];

dispbitcnt++;

if(dispbitcnt==8)

{

dispbitcnt=0;

}

}

t02scnt++;

if(t02scnt==1600)

{

t02scnt=0;

u++;

if(u==9)

{

u=0;

}

for(i=0;i<8;i++)

{

dispbuf[i]=16;

}

for(i=0;i

{

dispbuf[i]=8;

}

}

}

22.电子琴

1.实验任务

(1.由4X4组成16个按钮矩阵,设计成16个音。

(2.可随意弹奏想要表达的音乐。

2.电路原理图

图4.22.1

3.系统板硬件连线

(1.把“单片机系统”区域中的P1.0端口用导线连接到“音频放大模块”区域中的SPKIN端口上;

(2.把“单片机系统“区域中的P3.0-P3.7端口用8芯排线连接到“4X4行列式键盘”区域中的C1-C4 R1-R4端口上;

4.相关程序内容

(1.4X4行列式键盘识别;

(2.音乐产生的方法;

一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我们就可以利用不同的频率的组合,即可构成我们所想要的音乐了,当然对于单片机来产生不同的频率非常方便,我们可以利用单片机的定时/计数器T0来产生这样方波频率信号,因此,我们只要把一首歌曲的音阶对应频率关系弄正确即可。

现在以单片机12MHZ晶振为例,例出高中低音符与单片机计数T0相关的计数值如下表所示

音符

频率(HZ)

简谱码(T值)

音符

频率(HZ)

简谱码(T值)

低1 DO

262

63628

#4FA#

740

64860

#1 DO#

277

63731

中5SO

784

64898

低2 RE

294

63835

#5SO#

831

64934

#2RE#

311

63928

中6LA

880

64968

低3M

330

64021

#6

932

64994

低4FA

349

64103

中7SI

988

65030

#4FA#

370

64185

高1DO

1046

65058

低5SO

392

64260

#1DO#

1109

65085

#5SO#

415

64331

高2RE

1175

65110

低6LA

440

64400

#2RE#

1245

65134

#6

466

64463

高3M

1318

65157

低7SI

494

64524

高4FA

1397

65178

中1DO

523

64580

#4FA#

1480

65198

#1DO#

554

64633

高5SO

1568

65217

中2RE

587

64684

#5SO#

1661

65235

#2RE#

622

64732

高6LA

1760

65252

中3M

659

64777

#6

1865

65268

中4FA

698

64820

高7SI

1967

65283

下面我们要为这个音符建立一个表格,有助于单片机通过查表的方式来获得相应的数据

低音0-19之间,中音在20-39之间,高音在40-59之间

TABLE:

DW0,63628,63835,64021,64103,64260,64400,64524,0,0

DW0,63731,63928,0,64185,64331,64463,0,0,0

DW0,64580,64684,64777,64820,64898,64968,65030,0,0

DW0,64633,64732,0,64860,64934,64994,0,0,0

DW0,65058,65110,65157,65178,65217,65252,65283,0,0

DW0,65085,65134,0,65198,65235,65268,0,0,0

DW0

2、音乐的音拍,一个节拍为单位(C调)

曲调值

DELAY

曲调值

DELAY

调4/4

125ms

调4/4

62ms

调3/4

187ms

调3/4

94ms

调2/4

250ms

调2/4

125ms

对于不同的曲调我们也可以用单片机的另外一个定时/计数器来完成。

下面就用AT89S51单片机产生一首“生日快乐”歌曲来说明单片机如何产生的。

在这个程序中用到了两个定时/计数器来完成的。

其中T0用来产生音符频率,T1用来产生音拍。

5.程序框图

图4.22.2

6.汇编源程序

KEYBUFEQU30H

STH0EQU31H

STL0EQU32H

TEMPEQU33H

ORG00H

LJMPSTART

ORG0BH

LJMPINT_T0

START:

MOVTMOD,#01H

SETBET0

SETBEA

WAIT:

MOVP3,#0FFH

CLRP3.4

MOVA,P3

ANLA,#0FH

XRLA,#0FH

JZNOKEY1

LCALLDELY10MS

MOVA,P3

ANLA,#0FH

XRLA,#0FH

JZNOKEY1

MOVA,P3

ANLA,#0FH

CJNEA,#0EH,NK1

MOVKEYBUF,#0

LJMPDK1

NK1:

CJNEA,#0DH,NK2

MOVKEYBUF,#1

LJMPDK1

NK2:

CJNEA,#0BH,NK3

MOVKEYBUF,#2

LJMPDK1

NK3:

CJNEA,#07H,NK4

MOVKEYBUF,#3

LJMPDK1

NK4:

NOP

DK1:

MOVA,KEYBUF

MOVDPTR,#TABLE

MOVCA,@A+DPTR

MOVP0,A

MOVA,KEYBUF

MOVB,#2

MULAB

MOVTEMP,A

MOV

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 党团工作 > 入党转正申请

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2