基于某FPGA高精度数字频率计地设计Word文档下载推荐.docx

上传人:b****2 文档编号:4111911 上传时间:2023-05-02 格式:DOCX 页数:43 大小:400.21KB
下载 相关 举报
基于某FPGA高精度数字频率计地设计Word文档下载推荐.docx_第1页
第1页 / 共43页
基于某FPGA高精度数字频率计地设计Word文档下载推荐.docx_第2页
第2页 / 共43页
基于某FPGA高精度数字频率计地设计Word文档下载推荐.docx_第3页
第3页 / 共43页
基于某FPGA高精度数字频率计地设计Word文档下载推荐.docx_第4页
第4页 / 共43页
基于某FPGA高精度数字频率计地设计Word文档下载推荐.docx_第5页
第5页 / 共43页
基于某FPGA高精度数字频率计地设计Word文档下载推荐.docx_第6页
第6页 / 共43页
基于某FPGA高精度数字频率计地设计Word文档下载推荐.docx_第7页
第7页 / 共43页
基于某FPGA高精度数字频率计地设计Word文档下载推荐.docx_第8页
第8页 / 共43页
基于某FPGA高精度数字频率计地设计Word文档下载推荐.docx_第9页
第9页 / 共43页
基于某FPGA高精度数字频率计地设计Word文档下载推荐.docx_第10页
第10页 / 共43页
基于某FPGA高精度数字频率计地设计Word文档下载推荐.docx_第11页
第11页 / 共43页
基于某FPGA高精度数字频率计地设计Word文档下载推荐.docx_第12页
第12页 / 共43页
基于某FPGA高精度数字频率计地设计Word文档下载推荐.docx_第13页
第13页 / 共43页
基于某FPGA高精度数字频率计地设计Word文档下载推荐.docx_第14页
第14页 / 共43页
基于某FPGA高精度数字频率计地设计Word文档下载推荐.docx_第15页
第15页 / 共43页
基于某FPGA高精度数字频率计地设计Word文档下载推荐.docx_第16页
第16页 / 共43页
基于某FPGA高精度数字频率计地设计Word文档下载推荐.docx_第17页
第17页 / 共43页
基于某FPGA高精度数字频率计地设计Word文档下载推荐.docx_第18页
第18页 / 共43页
基于某FPGA高精度数字频率计地设计Word文档下载推荐.docx_第19页
第19页 / 共43页
基于某FPGA高精度数字频率计地设计Word文档下载推荐.docx_第20页
第20页 / 共43页
亲,该文档总共43页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

基于某FPGA高精度数字频率计地设计Word文档下载推荐.docx

《基于某FPGA高精度数字频率计地设计Word文档下载推荐.docx》由会员分享,可在线阅读,更多相关《基于某FPGA高精度数字频率计地设计Word文档下载推荐.docx(43页珍藏版)》请在冰点文库上搜索。

基于某FPGA高精度数字频率计地设计Word文档下载推荐.docx

101;

第2档:

102;

第3档:

103;

第4档:

104;

第5档:

105〔根本单位:

HZ,a:

读数〕。

价值

频率计是工程技术人员必不可少的测量工具,也是电子领域里的一项重要内容而高精度频率计的应用尤为广泛,不少物理的测量,如转速、振动频率等测量都涉与到或可以转化为频率的测量,多功能频率计设计的完成可以实现。

开展本课题的意义与工作内容:

在电子工程,资源勘探,仪器仪表等相关应用中,频率计是工程技术人员必不可少的测量工具,频率测量也是电子领域里的一项重要内容,而高精度频率计的应用尤为广泛,不少物理的测量,如转速、振动频率等测量都涉与到或可以转化为频率的测量,多功能频率计设计的完成可以实现。

采用了在FPGA芯片上实现高精度频率计的设计原理和具体的VHDL语言编程思路。

一、课题工作的总体安排与进度:

第一周:

根据论题内容查找有关资料,做好毕业设计的前期工作,并与指导教师讨论相关设计相关计划,便于今后更好进展,写好开题报告

第二周至第七周:

软件的设计,撰写论文

安排如下:

2月下旬对VHDL语言进一步加强巩固,为更好地编写程序;

3月份主要工作在于软件设计和进展实验验证结果;

3月下旬至4月上旬进展论文撰写与修改的完成

第八周:

准备辩论

二、课题预期达到的效果:

所测频率可以进展自动换档的数字频率计,且高位显示档位,并有秒表功能。

读数〕

二、文献综述

20世纪末,数字电子技术得到了飞速开展,有力地推动和促进了社会生产力的开展和社会信息化的提高,数字电子技术的应用已经渗透到人类生活的各个方面。

从计算机到手机,从数字到数字电视,从家用电器到军用设备,从工业自动化到航天技术,都尽可能采用了数字电子技术。

现代电子设计技术的核心是EDA技术。

EDA技术就是以计算机为工具,在EDA软件平台上,对硬件语言HDL为系统逻辑描述完成的设计文件,自动的完成逻辑编译、逻辑化简、逻辑综合与优化、逻辑仿真,直至对特定目标芯片的适配编译、逻辑映射和编程下载等工作〔文本选用的开发工具为Altera公司的MAX+PLUSII〕。

EDA的仿真测试技术只需要通过计算机就能对所设计的电子系统从各种不同层次的系统性能特点完成一系列准确的测试与仿真操作,大大提高了大规模系统电子设计的自动化程度。

设计者的工作仅限于利用软件方式,即利用硬件描述语言〔如VHDL〕来完成对系统硬件功能的描述。

EDA技术使实现,极大地提高了设计效率,缩短了设计周期,节省了设计本钱。

今天EDA技术已经成为电子设计的重要工具,无论是设计芯片还是设计系统,如果没有EDA工具的支持,都将是难以完成的。

EDA工具已经成为现代电路设计工程师的重要武器,正在发挥越来越重要的作用。

为了提高自身的实践能力与专业知识应用能力,为了更快地与社会实际和社会需要接轨,这次毕业设计我选择了以EDA技术为方向,设计数字频率计,在所参考的文献中,都包含了这一技术。

相信通过此次毕业设计将为我更全面更系统更深入地掌握EDA技术打下良好的根底。

EDA开展历程

EDA技术伴随着计算机、集成电路、电子系统设计的开展,经历了三个开展阶段,即:

20世纪70年代开展起来的CAD技术;

0世纪80年代开始应用的CAE技术;

20世纪90年代后期,出现的以硬件描述语言、系统级仿真和综合技术为特征的EDA技术,这时的EDA工具不仅具有电子系统设计的能力,而且能提供独立于工艺和厂家的系统级设计能力,具有高级抽象的设计构思。

EDA技术涉与面广,内容丰富,从教学和实用的角度看,主要有以下四个方面内容:

(1)大规模可编程逻辑器件;

(2)硬件描述语言;

(3)软件开发工具;

(4)实验开发系统。

其中,大规模可编程逻辑器件是利用EDA技术进展电子系统设计的载体;

硬件描述语言是利用EDA技术进展电子系统设计的主要表达;

软件开发工具是利用EDA技术进展电子系统设计的智能化、自动化设计工具;

实验开发系统是利用EDA技术进展电子系统设计的下载工具与硬件验证工具。

随着现代半导体的精细加工技术开展到深亚微米(0.18~0.35um)阶段,基于大规模或超大规模集成电路技术的定制或半定制ASIC(ApplicationSpecificIC即专用集成电路)器件大量涌现并获得广泛的应用,使整个电子技术与产品的面貌发生了深刻的变化,极大地推动了社会信息化的开展进程。

而支撑这一开展进程的主要根底之一,就是EDA技术。

可编程逻辑器件

可编程逻辑器件是近几年才开展起来的一种新型集成电路,是当前数字系统设计的主要硬件根底,是硬件编程语言HDL物理实现工具。

可编程逻辑器件对数字系统设计自动化起着推波助澜的作用,可以说,没有可编程逻辑器件就没有当前的数字电路自动化。

目前,由于这种以可编程逻辑器件为原材料.从“制造自主芯片〞开始的EDA设计模式己成为当前数字系统设计的主流,假如要追赶世界最先进的数字系统设计方法,就要认识并使用可编程逻辑器件。

数字集成电路本身在不断地进展更新换代。

它由早期的电子管、晶体管、小中规模集成电路、开展到超大规模集成电路(VLSIC,几万门以上)以与许多具有特定功能的专用集成电路。

但是,随着微电子技术的开展,设计与制造集成电路的任务已不完全由半导体厂商来独立承当。

系统设计师们更愿意自己设计专用集成电路(ASIC)芯片,而且希望ASIC的设计周期尽可能短,最好是在实验室里就能设计出适宜的ASIC芯片,并且立即投入实际应用之中,因而出现了现场可编程逻辑器件(FPLD),其中应用最广泛的当属现场可编程门阵列(FPGA)和复杂可编程逻辑器件(CPLD)。

可编程逻辑器件正处于高速开展的阶段。

新型的FPGA/CPLD规模越来越大,本钱越来越低。

高性价比使可编程逻辑器件在硬件设计领域扮演着日益重要的角色。

低端CPLD已经逐步取代了74系列等传统的数字元件,高端的FPGA也在不断地夺取ASIC的市场份额,特别是目前大规模FPGA多数支持可编程片上系统〔SOPC〕,与CPU或DSPCore的有机结合使FPGA已经不仅仅是传统的硬件电路设计,而逐步升华为系统级实现工具。

基于EDA技术的数字系统层次化设计方法

EDA(ElectronicsDesignAutomation)技术的出现使数字系统的分析与设计方法发生了根本的变化,采用的根本设计方法主要有三种:

直接设计、自顶向下(Top-to-Down)设计、自底向上(Buttom-to-Up)设计。

直接设计就是将设计看成一个整体,将其设计成为一个单电路模块,它适合小型简单的设计。

而一些功能较复杂的大型数字逻辑系统设计适合自顶向下或自底向上的设计方法。

自顶向下的设计方法就是从设计的总体要求入手,自顶向下地将设计划分为不同的功能子模块,每个模块完成特定的功能,这种设计方法首先确定顶层模块的设计,再进展子模块的详细设计,而在子模块的设计中可以调用库中已有的模块或设计过程中保存下来的实例。

自底向上的设计方法与自顶向下的设计方法恰恰相反。

  在数字系统的EDA设计中往往采用层次化的设计方法,分模块、分层次地进展设计描述。

描述系统总功能的设计为顶层设计,描述系统中较小单元的设计为底层设计。

整个设计过程可理解为从硬件的顶层抽象描述向最底层结构描述的一系列转换过程,直到最后得到可实现的硬件单元描述为止。

层次化设计方法比拟自由,既可采用自顶向下的设计也可采用自底向上设计,可在任何层次使用原理图输入和硬件描述语言HDL设计。

  现代数字系统的设计方法一般都是自顶向下(Top-to-Down)的层次化设计方法,即从整个系统的整体要求出发,自上而下地逐步将系统设计内容细化,即把整个系统分割为假如干功能模块,最后完成整个系统的设计。

  在电子设计领域,自顶向下的层次化设计方法,只有在EDA技术得到快速开展和成熟应用的今天才成为可能,自顶向下的层次化设计方法的有效应用必须基于功能强大的EDA工具,具备集系统描述、行为描述和结构描述功能为一体的硬件描述语言HDL,以与先进的ASIC制造工艺和CPLD/FPGA开发技术。

当今,自顶向下的层次化设计方法已经是EDA技术的首选设计方法,是CPLD/FPGA开发的主要设计。

EDA技术的未来

  从目前的EDA技术来看,其开展趋势是政府重视、使用普与、应用广泛、工具多样、软件功能强大。

中国EDA市场已渐趋成熟,不过大局部设计工程师面向的是PCB制板和小型ASIC领域,仅有小局部〔约11%〕的设计人员开发复杂的片上系统器件。

为了与某某地区和美国的设计工程师形成更有力的竞争,中国的设计队伍有必要引进和学习一些最新的EDA技术。

  在信息通信领域,要优先开展高速宽带信息网、深亚微米集成电路、新型元器件、计算机与软件技术、第三代移动通信技术、信息管理、信息安全技术,积极开拓以数字技术、网络技术为根底的新一代信息产品,开展新兴产业,培育新的经济增长点。

要大力推进制造业信息化,积极开展计算机辅助设计〔CAD〕、计算机辅助工程〔CAE〕、计算机辅助工艺〔CAPP〕、计算机辅助制造〔CAM〕、产品数据管理〔PDM〕、制造资源计划〔MRPII〕与企业资源管理〔ERP〕等。

有条件的企业可开展“网络制造〞,便于合作设计、合作制造,参与国内和国际竞争。

开展“数控化〞工程和“数字化〞工程。

自动化仪表的技术、开展趋势的测试技术、控制技术与计算机技术、通信技术进一步融合,形成测量、控制、通信与计算机〔M3C〕结构。

在ASIC和PLD设计方面,向超高速、高密度、低功耗、低电压方面开展。

外设技术与EDA工程相结合的市场前景看好,如组合超大屏幕的相关连接,多屏幕技术也有所开展。

中国1995年以来加速开发半导体产业,先后建立了几所设计中心,推动系列设计活动以应对亚太地区其它EDA市场的竞争。

在EDA软件开发方面,目前主要集中在美国。

但各国也正在努力开发相应的工具。

日本、韩国都有ASIC设计工具,但不对外开放。

中国华大集成电路设计中心,也提供IC设计软件,但性能不是很强。

相信在不久的将来会有更多更好的设计工具在各地开花结果。

据最新统计显示,中国和印度正在成为电子设计自动化领域开展最快的两个市场,年增长率分别达到了50%和30%。

完毕语

  EDA技术为现代数字系统理论和设计的表达与应用提供了可能性,它已不是某一学科的分支,而是一门综合性学科。

EDA技术打破了计算机软件与硬件间的壁垒,使计算机的软件技术与硬件实现、设计效率和产品性能合二为一,它代表了数字电子设计技术和应用技术的开展方向。

21世纪将是EDA技术的高速开展时期,并着眼于数字逻辑向模拟电路和数模混合电路的方向开展。

EDA将会超越电子设计的X畴进入其他领域,随着基于EDA的SOC设计技术的开展、软硬核功能库的建立、IP核复用,以与基于HDL的自顶向下的设计理念确实立,未来的电子系统级设计(ESL)将不再是电子工程师们的专利。

1、简述毕业设计开始以来所做的具体工作和取得的进展或成果

具体工作如下:

〔1〕从毕业设计开始,根据所设计的项目,通过上网、图书馆等途径进展资料的收集和整理,对所得的资料进展详细地阅读和充分地理解,并确定了设计的总体框架和各模块组成。

〔2〕根据个人设计项目,构思总体方案,然后再对各个模块进展分析。

〔3〕了解各个功能模块可以实现的方案与途径,再对各个方案进展优缺点比拟并确定最后的方案。

〔4〕先用MAX+PLUSⅡ软件对各模块的波形仿真后,再用模块法进展整体的调试,通过编译后将其下载到FPGA芯片上,最后连接好各管脚,根据要求进展调试,使设计结果满足要求。

〔5〕根据设计要求利用MAX+PLUSⅡ编写、调试和优化程序,根据功能要求对其进展修改,直至达到要求能实现所需的功能。

2、目前存在问题,下一步的主要研究任务,具体设想与安排

程序调试可行,各模块设计的电路也可以实现其功能,目前存在的问题就是设计的系统有时会不稳定,还有读取的数值反响很慢,不能与时获取,这就要求我们提高系统的稳定性和抗干扰性,并实现如何使理论与实际相结合起来进展综合调试,使设计能实现其相应的功能。

【摘要】介绍一种以FPGA(FieldProgrammableGateArray)为核心,基于硬件描述语言VHDL的数字频率计设计与实现,采用模块化单元构建系统,进展数字频率计设计与开发的新方法。

数字频率计是一种根本的测量仪器,它被广泛应用与航天、电子、测控等领域。

它的根本测量原理是,首先通过分频器得到1Hz的时钟,然后用计数器计数信号在1S中里的脉冲个数,把标准时间内的计数的结果,用锁存器锁存起来,最后用显示译码器,把锁存的结果用数码管显示出来。

引言............................................................................

(1)

1设计任务.....................................................................

(2)

2总体方案论证与比拟..................................................

(2)

3EDA与硬件描述语言介绍...............................................

(2)

3.1EDA技术和VHDL语言的特点..............................................

(2)

3.2FPGA芯片和EPF10K10LC84-4N简单介绍......................................(3)

3.3MAX+PLUSⅡ软件介绍..................................................(3)

4频率计的设计原理....................................................(5)

5频率计的模块设计....................................................(7)

5.1计数模块....................................................................(7)

5.2分频模块....................................................................(8)

5.3档位模块....................................................................(9)

5.4锁存模块...................................................................(10)

5.5数码管显示译码模块.........................................................(11)

6系统调试...................................................................(11)

7误差分析...................................................................(12)

8完毕语......................................................................(14)

致谢语......................................................................(14)

参考文献....................................................................(14)

引言

本文介绍了在FPGA芯片上实现高精度频率计的设计原理和具体的VHDL语言编程思路。

现场可编程门阵列的出现给现代电子设计带来了极大的方便和灵活性,使复杂的数字电子系统设计变为芯片级设计,该系统具有稳定可靠、抗干扰能力强和现场可编程等优点,同时还可以很方便地对设计进展在线修改。

相对于传统的系统电路设计方法,EDA技术可采用硬件描述语言来描述电路系统,而VHDL语言如此具有多层次描述系统硬件功能的能力,而且能支持自顶向下的设计,在电子工程领域,已成为事实上的通用硬件描述语言,这使得设计者可以不必了解硬件结构。

通过编译后最终下载到具体的FPGA器件中去,本设计采用的是EPF10K10LC84-4N这系列器件,从而实现可编程逻辑器件的设计。

频率测量是电子测量技术中最根本最常见的测量之一,不少物理量的测量,如转速、振动频率等的测量都涉与到或可以转化为频率的测量,数字频率计是数字电路中的一个典型应用,但实际的硬件设计用到的器件较多,连线比拟复杂,而且会产生比拟大的延时,从而造成测量误差和可靠性都比拟差。

而随着复杂可编程逻辑器件的广泛应用,以EDA技术进展开发并运用VHDL语言,将使整个系统大大简化,同时可大大提高系统的整体性能和可靠性。

1设计任务

2总体方案论证与比拟

方案1:

采用中小规模数字电路构成频率计,由计数器构成主要的测量模块,用定时器组成主要的控制电路。

电路框图如图2-1所示。

此方案软件设计简单,但外围芯片过多,且频带窄,实现起来较复杂,功能不强,而且不能程控和扩展。

图3-1方案1电路框图

方案2:

采用大规模现场可编程逻辑器件实现数字频率计并用模块法完成。

大规模现场可编程器件采用ALTERA公司生产的EPF10K10LC84-4N实现,其特点是结构简单,功能较强。

比拟:

方案1采用中小规模集成电路来实现,系统电路较复杂,扩展性能差。

方案2用可编程逻辑器件实现大局部硬件电路的功能,并且调试简单。

故采用方案2。

3EDA与硬件描述语言介绍

3.1EDA技术和VHDL语言的特点

EDA〔ElectronicDesignAutomation———电子设计自动化〕代表了当今电子设计技术的最新开展方向,它的根本MAX+PLUSⅡ特征是:

设计人员按照“自顶向下〞(TopDown)的设计方法,对整个系统进展方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言HDL〔HardwareDescriptionLanguage———硬件描述语言〕完成系统行为级设计,最后通过综合器和适配器生成最终目标器件[9]。

FPGA可以通过软件编程对目标器件的结构和工作方式进展重构,能随时对设计进展调整,具有集成度高、结构灵活、开发周期短、快速可靠性高等特点,数字设计在其中快速开展,应用这种技术可使设计过程大大简化软件全部采用,VHDL语言进展编写。

据统计,目前兴旺国家在电子产品开发中EDA工具的利用率已达50%[4],而大局部的ASIC和FPGA已采用HDL设计。

EDA技术以VHDL高层次综合能力为特点,支持不同领域A行为、结构、几何B中各种层次A从系统级直至开关级B的设计描述和自顶向下以与自底向上等设计方法。

由于VHDL已成为IEEE准,目前的EDA工具可以使ASIC系统行为、功能、算法的VHDL描述直接综合生成FPGA器件,因此可以使设计者将精力集中于设计构思,从而提高设计效率,同时也利于设计的分交流和重用。

VHDL语言最大的特点是描述能力极强,可以覆盖逻辑设计的诸多领域和层次,并支持众多的硬件模型。

其特点包括:

(1)设计技术齐全,方法灵活,支持广泛;

(2)系统硬件描述能力强;

(3)VHDL语言可以与工艺无关地进展编程;

(4)VHDL语言标准、规X,易于共享和重用。

3.2FPGA芯片和EPF10K10LC84-4N简单介绍

(1)FPGA简介

FPGA(FieldProgrammableGateArray)即现场可编程逻辑阵列,是大规模可编程集成电路的主流器件[6]。

FPGA一般由三种可编程电路和一个用于存放编程数据的SRAM(静态随机存储器)组成,这三种可编程电路是:

可编程逻辑阵列LAB(LogicArrayBlock)、输人输出模块和互连资源(IntereonnectResource)。

它是在PAL、GAL、EPLD等可编程器件的根底上进一步开展的产物。

它是作为专用集成电路〔ASIC〕领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克制了原有可编程器件门电路数有限的缺点。

FPGA器件与其开发系统是开发大规模数字集成电路的新技术,这利用计算机辅助设计,绘制出实现用户逻辑的原理图、编辑布尔方程或用硬件描述语言等方式作为设计输入;

然后经一系列转换程序、自动布线、模拟仿真的过程;

最后生成配置FPGA器件的数据文件,对FPGA器件初始化[14]。

这样就实现了满足用户要求的专用集成电路,真正达到了用户自行设计、自行研制和自行生产集成电路的目的。

FPGA有多种配置模式:

并行主模式为一片FPGA加一片EPROM的方式;

主从模式可以支持一片PROM编程多片FPGA;

串行模式可以采用串行PROM编程FPGA;

外设模式可以将FPGA作为微处理器的外设,由微处理器对其编程。

概括地说,FPGA器件具有如下优点:

高密度、高速率、系列化、标准化、小型化、多功能、低功耗、低本钱,设计灵活方便,可无限次反复编程,并可现场模拟调试验证。

(2)EPF10K10LC84-4N介绍

可编程器件EPF10K10LC84-4实现IIC总线的通讯接口的根本原理,并给出了局部的VHDL语言描述。

该通讯接口与专用的接口芯片相比,具有使用灵活、系统配置方便的特点。

关键词:

IIC总线CPLDVHDLISPIIC总线是PHILIPS公司开发的一种简单、双向、二线制、同步串行总线。

它只需两根线〔串行时钟线和串行数据线〕即可在连接于总线上的器件之间传送信息[12]。

该总线是高性能串行总线,具备多主机系统所需要的裁决和上下速设备同步等功能,应用极为广泛。

目前市场上虽然有专用IIC总线接口芯片,但是地址可选X围小、性能指标固定、功能单一、使用不方便。

根据IIC总线的电气特性与其通讯协议,采用ALTERA公司的FLEX10K系列ISP器件EPF10K10LC84-4可以方便地实现IIC总线的通讯接口,且具有高速

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 工作范文 > 行政公文

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2