基于MC1496的2DPSK调制解调器设计毕业作品.docx

上传人:b****4 文档编号:4135825 上传时间:2023-05-06 格式:DOCX 页数:34 大小:1.01MB
下载 相关 举报
基于MC1496的2DPSK调制解调器设计毕业作品.docx_第1页
第1页 / 共34页
基于MC1496的2DPSK调制解调器设计毕业作品.docx_第2页
第2页 / 共34页
基于MC1496的2DPSK调制解调器设计毕业作品.docx_第3页
第3页 / 共34页
基于MC1496的2DPSK调制解调器设计毕业作品.docx_第4页
第4页 / 共34页
基于MC1496的2DPSK调制解调器设计毕业作品.docx_第5页
第5页 / 共34页
基于MC1496的2DPSK调制解调器设计毕业作品.docx_第6页
第6页 / 共34页
基于MC1496的2DPSK调制解调器设计毕业作品.docx_第7页
第7页 / 共34页
基于MC1496的2DPSK调制解调器设计毕业作品.docx_第8页
第8页 / 共34页
基于MC1496的2DPSK调制解调器设计毕业作品.docx_第9页
第9页 / 共34页
基于MC1496的2DPSK调制解调器设计毕业作品.docx_第10页
第10页 / 共34页
基于MC1496的2DPSK调制解调器设计毕业作品.docx_第11页
第11页 / 共34页
基于MC1496的2DPSK调制解调器设计毕业作品.docx_第12页
第12页 / 共34页
基于MC1496的2DPSK调制解调器设计毕业作品.docx_第13页
第13页 / 共34页
基于MC1496的2DPSK调制解调器设计毕业作品.docx_第14页
第14页 / 共34页
基于MC1496的2DPSK调制解调器设计毕业作品.docx_第15页
第15页 / 共34页
基于MC1496的2DPSK调制解调器设计毕业作品.docx_第16页
第16页 / 共34页
基于MC1496的2DPSK调制解调器设计毕业作品.docx_第17页
第17页 / 共34页
基于MC1496的2DPSK调制解调器设计毕业作品.docx_第18页
第18页 / 共34页
基于MC1496的2DPSK调制解调器设计毕业作品.docx_第19页
第19页 / 共34页
基于MC1496的2DPSK调制解调器设计毕业作品.docx_第20页
第20页 / 共34页
亲,该文档总共34页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

基于MC1496的2DPSK调制解调器设计毕业作品.docx

《基于MC1496的2DPSK调制解调器设计毕业作品.docx》由会员分享,可在线阅读,更多相关《基于MC1496的2DPSK调制解调器设计毕业作品.docx(34页珍藏版)》请在冰点文库上搜索。

基于MC1496的2DPSK调制解调器设计毕业作品.docx

基于MC1496的2DPSK调制解调器设计毕业作品

毕设

业计

(20届)

 

基于MC1496的2DPSK调制解调器设计

所在学院

专业班级电子信息工程

学生姓名学号

指导教师职称

完成日期年月

摘要

调制解调器(modem)是数字通信技术中的一个重要组成部分。

随着通信技术日新月异的发展,尤其是数字通信的快速发展越来越普及,数字通信广泛应用于单位企业和个人家庭中。

对于学习有关该技术专业的学生来说,需要对调制解调器的工作原理和工作次序作更好的了解,这样对自己的工作和学习也有更多的帮助,所以课题选择了设计基于MC1496的2DPSK调制解调系统。

论文根据技术要求和功能要求设计各单元电路,包括时钟电路、调制模块中的信号源产生电路、差分编码电路和2PSK调制电路,以及解调模块中的延时电路、噪声源电路、带通滤波器、乘法器、低通滤波器、抽样判决器以及码反变换电路;利用protel软件画出各模块电路图;然后在电路板上安装、焊接所设计的电路;再对所焊的电路进行调试、测量,作好有关的数据、波形。

最后完成符合要求的2DPSK调制解调器。

关键词:

数字通信;差分移相键控(2DPSK);MC1496

Abstract

Withtherapiddevelopmentofcommunicationtechnology,especiallytherapidadoptionofdigitalcommunication,theresearchersputonitsrelevanttechnologyofgreatinterest.Inordertomakethedigitalsignaltransferintheband-passchannel,itmustmodulatethecarrierwaveusingdigitalsignal,anditsmodulationmodeissimilartothatofanalogsignal.Throughthechanneltransmission,themodulatedsignalscanreturntodigitalsignalsafterdemodulationatthereceiver.Therefore,medulationanddemodulationtechnologyareimportantmeansofrealizingthemoderncommunication,andtheypromotetherapiddevelopmentofcommunication.Modemisanimportantpartofdigitalcommunicationtechnology,andwidelyusedinenterprisesandindividualfamilies.Toourstudentsthatlearnabouttheprofessionaltechnology,weshouldhaveabetterunderstandingofmodem’sworkingprincipleandworkingorder,itwillalsodohelptoourworkandstudy.Therefore,inthesubjectitwilldesignthe2DPSKdemodulationsystembaseingonMC1496.Thesubjectadoptshardwaredesignwithsoftwaresimulation,designseachunitcircuitaccordingtothetechnicalrequirementsandfunctiondiagram,Includingclockmodule,demodulationmodulecircuitand2PSKdifferentialcodingmodulationanddemodulationmodulecircuitandthedelaycircuitandnoisecircuit,band-passfilter,ontime-multiplier,low-passfilter,samplingjudgmentdeviceandyardsinversetransformcircuit;dependsonthesituationtosimulateandmodificate,andthenformstheentiresystemcircuit.Thenweshouldinstallandweldthedesignedcircuitonthecircuitboard,anddebugandmeasuretheweldingcircuit,recordthedatasandwaveforms.Atlast,itwillaccomplishthedesirable2DPSKmodem.

Keywords:

digitalcommunication;differentialphaseshiftingkeying(2DPSK);MC1496

 

1.引言

在通信系统中,从消息变换过来的原始信号所占的有效频带往往具有频率较低的频谱分量。

例如语音信号,如果将这种信号直接在信道中进行传输,则会严重影响信息传送的有效性和可靠性,因此这种信号在许多信道中均是不适宜直接进行传输的。

在通信系统的发射端通常需要有调制过程,将调制信号的频谱搬移到所希望的位置上,使之转换成适于信道传输或便于信道多路复用的已调信号;而在接收端则需要有解调过程,以恢复原来有用的信号。

调制解调方式常常决定了一个通信系统的性能。

随着数字化波形测量技术和计算机技术的发展,可以使用数字化方法实现调制与解调过程。

由于数字信号传输一般采用二进制码,所以可以使用现代计算机对数字信号进行处理。

随着通信技术日新月异的发展,尤其是数字通信的快速发展,越来越普及,研究人员对其相关技术投入了极大的兴趣。

为使数字信号能在带通信道中传输,必须用数字信号对载波进行调制,其调制方式与模拟信号调制相类似,根据载波参数的不同,也可分为幅度调制、频率调制和相位调制三类。

在这三类调制中,幅度调制比较简单,但抗噪声性能较差,一般只在理论分析中使用;2PSK相对于2FSK,具有更好的频谱利用率和抗噪声性能,在高速通信中用得更广泛。

论文主要研究了基于MC1496的二进制2DPSK数字调制解调系统,即2DPSK调制解调器的设计。

首先对模拟调制和数字调制进行了简要的介绍;然后对2DPSK数字调制解调的原理做了重点分析,包括2DPSK调制原理、2DPSK信号产生方法和相干解调原理;接着分析2DPSK调制解调系统的组成、各模块电路设计及芯片选型,利用Protel软件完成了该原理图和线路板图设计;最后进行电路的焊接与调试。

本文从理论到实践,完成了2DPSK(二进制差分相位键控)调制与解调的全过程。

 

2.总体设计

2DPSK调制解调系统主要由时钟模块、2DPSK调制器模块和2DPSK解调器模块3个模块组成。

时钟模块主要由晶体振荡器和分频器组成,将11.0592MHz的方波信号经分频器分频后产生19.2kHz的载波信号和2400Hz的位时钟信号;2DPSK调制器实现信源数据的调制,主要包括系统数据源、差分编码和2PSK相位调制等几部分单元电路;2DPSK解调器采用相干解调方式实现数据解调,主要包括调相电路、噪声源、带通滤波器(BPF)、相乘器、低通滤波器(LPF)、抽样判决器和码变换电路等几部分单元电路,噪声源输出幅度可调的噪声信号,用来模拟实际信道中的噪声。

图2-1所示是系统总体设计框图。

图2-1系统总体设计框图

3.2DPSK调制解调原理

3.12DPSK调制原理

传输系统中要保证信息的有效传输就必须要有较高的传输速率和很低的误码率,为了获得较低的误码率,就得让传输的信号有较低的误码率。

在传输信号中,2PSK信号和2ASK及2FSK信号相比,具有较好的误码率性能,但是,在2PSK信号传输系统中存在相位不确定性,并将造成接收码元“0”和“1”的颠倒,产生误码。

为了保证2PSK的优点,又不会产生误码,将2PSK体制改进为二进制差分相移键控(2DPSK),即相对相移键控。

2DPSK是利用前后相邻码元的载波相对相位变化传递数字信息,所以又称相对相移键控。

在绝对移相方式中,发送端是采用某一个相位作为基准,所以在系统接收端也必须采用相同的基准相位。

如果基准相位发生变化,则在接收端回复的信号将与发送的数字信息完全相反。

所以在实际过程中一般不采用绝对移相方式,而采用相对移相2DPSK方式[1]。

假设∆Φ为当前码元初相与前一码元载波初相之差,定义数字信息与∆Φ之间的关系为:

∆Φ=0→数字信息“0”,∆Φ=π→数字信息“1”。

则一组数字信息序列与2DPSK信号的码元相位关系示例如下:

二进制数字信息:

11010

DPSK信号相位:

(初始相位0)π00ππ

或:

(初始相位π)0ππ0π

由此例可知,对于相同的基带信号,由于初始相位不同,2DPSK信号的相位可以不同。

即2DPSK信号的相位并不直接代表基带信号,而前后码元的相对相位才决定信息符号。

在二进制数字调制中,当正弦载波的相位随二进制数字基带信号离散变化,则产生二进制移相键控(2PSK)信号。

通常用已调信号载波的“0”和“π”分别表示二进制数字基带信号的“1”和“0”。

如果先对二进制数字基带信号进行差分编码,即把表示数字信息序列的绝对码变换成相对码(差分码),然后再根据相对码进行绝对调相,就产生二进制差分相移键控信号,如图3-1所示。

图3-1中使用的是传号差分码,即载波的相位遇到原数字信息“1”变化,遇到“0”则不变[2]。

 

图3-12DPSK信号的波形

(a)绝对码;(b)相对码;(c)2DPSK波形

3.22DPSK信号产生方法

一般来说,2DPSK信号有两种调制方法,即模拟调制法和数字键控法。

2DPSK信号的的模拟调制法框图如图3-2所示,其中码变换的过程为将输入的基带信号进行差分变换,变换成相对码。

图3-2模拟调制法

2DPSK信号的的键控调制法框图如图3-3所示,其中码变换的过程为将输入的基带信号进行差分变换,即变为它的相对码。

选相开关作用为当输入为数字信息“0”时接相位0的载波,当输入数字信息为“1”时接相位π的载波。

图3-3键控调制法

3.32DPSK信号相干解调原理

2DPSK信号最常用的解调方法有两种:

相干解调法和差分相干解调法。

由于相干解调的性能优于差分相干解调,所以论文选用相干解调法。

相干解调法,即极性比较加码变换法。

其原理是2DPSK信号先经过带通滤波器,去除调制信号频带以外的在信道中混入的噪声,再与本地载波相乘,去掉调制信号中的载波成分,再经过低通滤波器去除高频成分,得到包含基带信号的低频信号,将其送入抽样判决器中进行抽样判决得到基带信号的差分码,再经过逆差分器,就得到了基带信号。

2DPSK相干解调法原理框图如图3-4所示,相干解调各点波形如图3-5所示。

图3-42DPSK相干解调原理框图

图3-5相干解调法各点波形

在解调过程中,由于载波相位模糊性的影响,使得解调出的相对码也可能

是“1”或“0”的倒置,但经差分译码(码)反变换得到的绝对码不会发生任何倒置的现象,从而解决了载波相位模糊性带来的问题[3]。

如假设接收序列为0π00ππ,2DPSK相干解调过程如下:

2DPSK信号:

(0)π00ππ(π)π00ππ

相乘器输出:

π00ππ0ππ00

相对码序列:

(0)10011

(1)01100

基带信号:

1101011010

由上述解调过程可知,不管初始相位是0还是π,2DPSK都可以实现信号的正确解调,从而消除2PSK倒相现象。

4.硬件设计

本系统硬件主要包括时钟模块、2DPSK调制模块和2DPSK解调模块。

4.1时钟模块

由于时钟模块产生的载波和时钟在调制和解调电路都需要涉及到,所以这里把这个模块单独分析,主要由晶体振荡器以及分频器组成。

4.1.1晶体振荡器电路

晶体振荡器模块产生稳定的11.0592MHz的方波信号,由无源晶振和74ls04非门构成振荡电路,如图4-1所示。

图4-1晶体振荡器模块

晶体振荡器是用晶振作选频元件的振荡电路,较其他振荡电路具有选频特性好(Q值很高)、频率稳定度很高等优点。

设计这样一个电路,是因为无源晶体振荡器是有2个引脚的无极性元件,需要借助于时钟电路才能产生振荡信号,自身无法振荡起来。

由于非门有传输延迟,不停地输出正负电平变化,任何周期波形都可以看作是多频率正弦波的叠加(傅立叶变换),石英晶体内部相当于电感与电容串联,具有选频特性,只有符合石英晶体频率的能够被有效通过,其他频率的交流信号通过石英晶体会显示较高阻抗被削弱,这样经过起振过程后,就能稳定的输出固定频率的正弦波了,再传递给应用电路。

由于存在一些必要的客观条件的影响,比如示波器本身的精度问题,导致输出的波形并非是准确的方波信号[4]。

4.1.2分频器电路

由于图4-1所示的时钟电路提供的是11.0592MHz的时钟信号,而调制和解调模块需要的是频率为19.2kHz的载波,因此需要11.0592MHz/19.2kHz=576倍的分频器。

576=64*9,选用64倍分频器和9倍的分频器实现576倍分频。

此系统的码元速率为2400bit/s,所以系统中的位时钟是2400Hz,19.2kHz的时钟信号经8倍的分频器分频后可以得到所需的位时钟信号。

综上所述,选用74ls161,4024和4022分别实现9分频、64分频和8分频。

时钟电路输出的11.0592MHz的方波信号经过9分频和64分频之后产生频率为19.2kHz的载波信号,主要用于相位调制以及在解调时作为本地载波,同时19.2kHz的信号再经过8分频后产生2400Hz的时钟信号,作为数据源和差分变换电路的时钟。

分频器电路如图4-2所示。

图4-2分频器电路图

74LS161是一种四位二进制可预置的同步加法计数器,表4-1是其功能表,从功能表中可知,当清零端MR=”0”,计数器输出Q3、Q2、Q1、Q0立即为全“0”,为异步复位功能。

当MR=”0”且PE=”0”时,在CP脉冲上升沿作用后,74LS161的输出端Q3、Q2、Q1、Q0的状态分别与并行数据输入端P3,P2,P1,P0的状态相同,为同步置数功能。

而当MR=PE=”1”、CEP、CET中有一个为“0”时,计数器不计数,输出端状态保持不变。

只有当MR=PE=CEP=CET=“1”、CP脉冲上升沿作用后,计数器加1。

此外74LS161还有一个进位输出端Tc,其逻辑关系是Tc=Q3Q2Q1Q0CET。

(注:

A对应D0,QA对应Q0。

表4-174ls161功能表

输入

输出

MR

CP

PE

CEP

CET

P3

P2

P1

P0

Q3

Q2

Q1

Q0

0

0

0

0

0

1

0

d

c

b

a

d

c

b

a

1

1

0

Q3

Q2

Q1

Q0

1

1

0

Q3

Q2

Q1

Q0

1

1

1

1

状态码加1

由74LS161和74LS04组成的9分频器,利用进位信号CO反相后产生预置数控制信号。

在CP脉冲作用后,74LS161就加1。

当Q3=Q2=Q1=Q0=ET=“1”时,进位端CO输出为“1”,反相后使74LS161的置位控制端LD有效,计数器进入置数准备状态。

当下一个时钟脉冲上升沿到达时,数据输入端D3、D2、D1、D0的数据被置入内部触发器,完成置数功能。

LD端的脉冲频率为计数时钟的9分频,负脉冲宽度为一个时钟周期[5]。

原理图中还有个芯片CD4022起到的是8分频的作用,表4-2和图4-3分别为其功能表和波形图。

可以看出,当INE(ENA)和CR(RST)同时接地,CP(CLK)端输入载波信号时,输出端Y0到Y7端(Q1到Q7)的功能为计数。

表4-24022功能表

输入

输出

C0状态

CP

INH

CR

Y0~Y7

×

×

H

Y0

计数脉冲大于4

CO=L

计数脉冲等于4

CO=L

计数脉冲小于4

CO=H

L

L

计数

H

L

L

×

L

保持

×

H

L

×

L

×

L

图4-34022引脚输出波形图

4.22DPSK调制模块

2DPSK调制模块主要是由数据源电路和差分编码电路构成,其作用就是利用载波对基带信号进行2DPSK调制。

如图4-4所示为2DPSK调制器电路设计原理图,其中2400Hz时钟和19.2KHz载波来自时钟模块。

图4-42DPSK调制电路图

4.2.1数据源电路

数据源电路主要包含了74ls175,74ls30,74ls04,4070以及4027等芯片。

输入端是2400Hz的时钟信号,通过此电路可以产生1:

1和m序列31位伪随机码这2种数字基带信号,任务书要求全0和全1的数字基带信号只需要输入低电平和高电平就可以实现。

如图4-5所示为数据源电路图。

 

图4-5数据源电路图

1:

1序列

此序列是由CD4027的其中一个JK触发器产生的。

4027包含了两个相互独立、互补对称的JK主从触发器的单片集成电路,每个触发器分别提供了J、K、置位、复位、时钟输入和经过缓冲的Q及输出信号。

此器件可用作移位寄存器,且通过将输出连接到数据输入,可用作计数器和触发器。

在时钟上升沿触发时,加在D输入端的逻辑电平传送到Q输出端,置位和复位与时钟无关,而分别由置位和复位线上的高电平完成[6]。

根据JK触发器的功能表4-3,时钟输入端输入2400Hz的CP,当JK同时接高电平,S、R都接地的时候,输出功能为翻转,即

此时输出1:

1序列,可以作为差分编码的JK端。

由于输入信号为2400Hz的时钟信号,所以产生的1:

1序列的频率也应该为2400Hz。

表4-3JK触发器的功能表

输入

输出功能

CP

J

K

SD

RD

Q非Q

×

×

×

H

H

L

L

×

×

×

×

L

H

H

L

×

×

×

×

L

L

L

L

L

H

L

H

L

L

L

L

L

L

H

H

HL

翻转

LH

保持

保持

HL

LH

HH

 

m序列31位伪随机码

m序列是最常用的一种伪随机序列,它是最长线性反馈移位寄存器序列的简称,m系列是由多级移位寄存器或其他延迟元件通过反馈产生的最长的码序列。

产生m序列的移位寄存器的网络结构不是随意的,其结构中的第一级与n级之间必须有反馈连接,即反馈系数

时,才能产生m序列,如图4-6所示。

m序列的周期P(码长)也不能取任意值,当移位寄存器的级数为n时,必须满足

,如表4-4所示。

图4-6最长线性移位寄存序列的产生

n级m序列移位寄存器是在非零的

状态中循环,输出每个状态的最低位,形成m序列。

每个m序列的周期中,“1”码出现

次,“0”码出现

次,即0、1出现概率几乎相等,因此m序列具有良好的平衡性,从而在通信领域得到了广泛的应用[7]。

要用n级移位寄存器来产生m序列,关键在于选择哪几级移位寄存器作为反馈,每一种m序列都对应着一个确定的线性n阶的反馈逻辑函数式,其形式

如下:

,其中

,称之为反馈系数,

为每一位寄存器状态。

这个多项式的0次幂系数或常数为1,其k次幂系数为1时代表第k级移位寄存器有反馈线;否则无反馈线(系数只能取0或1),本身的取值并没有实际意义,也不需要去计算x的值,称

为特征多项式。

也通常为本原多项式。

所谓“本原多项式”,即

必须满足以下条件[8]:

1)

即不能被1或它本身以外的其他多项式除尽;

2)当

时,则

能除尽

3)当

时,则

不能除尽

表4-4移位寄存器级数与码长的关系表4-5常用本源多项式

移位寄存器级数

码长

3

4

5

6

7

8

7

15

31

63

127

255

n

本原多项式

代数式

八进制表示

2

7

3

13

4

23

5

45

6

103

本设计为m序列31位的伪随机码,根据表4-5可知本源多项式为

,需要5级移位寄存器,而74ls175是由四上升沿D触发器构成,是4级移位寄存器,所以需要利用2个芯片。

图4-731位m序列的结构框图

,为了使电路是有自启动特性,反馈方程中加全0校正项

4.2.2差分编码和2PSK调制电路

差分码的优点主要是当传输系统中某些环节引起基带信号反相时,也不会影响接收的效果,所以在数字相位调制方面用的比较多。

由于2DPSK信号的键控调制法中需要用到相对码,我们需要对信号源出来的基带信号进行差分处理。

差分变换的功能是将输入的基带信号变为它的差分码,用到的模块有JK触发器。

求差分码的过程就是将基带信号与差分码前一个码元求异或。

如图4-8所示,将7脚和4脚都接地,5脚和6脚同时接输入信号,根据表4-3所示4027JK触发器的功能可知,当输入信号为1时,J、K脚都是H,在CLK脉冲作用下,输出Q翻转,而当输入信号为0时,J、K脚都是L,在CLK脉冲作用下,输出Q保持,即实现了传号差分变换。

由于在2PSK调制中,通常用已调信号载波的“0”和“π”分别表示二进制数字基带信号的“1”和“0”,所以我利用一个具有同样的功能的异或门电路对其进行代替。

数据源产生的基带信号1:

1序列或者伪随机序列经JK端输入,进行差分变换后通过异或门

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 解决方案 > 学习计划

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2