交通灯Word格式.docx

上传人:b****1 文档编号:4689349 上传时间:2023-05-03 格式:DOCX 页数:14 大小:218KB
下载 相关 举报
交通灯Word格式.docx_第1页
第1页 / 共14页
交通灯Word格式.docx_第2页
第2页 / 共14页
交通灯Word格式.docx_第3页
第3页 / 共14页
交通灯Word格式.docx_第4页
第4页 / 共14页
交通灯Word格式.docx_第5页
第5页 / 共14页
交通灯Word格式.docx_第6页
第6页 / 共14页
交通灯Word格式.docx_第7页
第7页 / 共14页
交通灯Word格式.docx_第8页
第8页 / 共14页
交通灯Word格式.docx_第9页
第9页 / 共14页
交通灯Word格式.docx_第10页
第10页 / 共14页
交通灯Word格式.docx_第11页
第11页 / 共14页
交通灯Word格式.docx_第12页
第12页 / 共14页
交通灯Word格式.docx_第13页
第13页 / 共14页
交通灯Word格式.docx_第14页
第14页 / 共14页
亲,该文档总共14页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

交通灯Word格式.docx

《交通灯Word格式.docx》由会员分享,可在线阅读,更多相关《交通灯Word格式.docx(14页珍藏版)》请在冰点文库上搜索。

交通灯Word格式.docx

要求学生综合所学软件硬件知识,并应用基础实验所获得的实验设计技能,独立设计

能解决实际问题的应用系统。

二、实验任务和要求:

1.能显示十字路口东西、南北两个方向的红、黄、绿的指示状态用两组红、黄、绿三色灯作为两个方向的红、黄、绿灯。

变化规律为:

东西绿灯,南北红灯->

东西黄灯,南北红灯->

东西红灯,南北绿灯->

东西红灯,南北黄灯->

东西绿灯,南北红灯……依次循环。

2.能实现正常的到计时功能用两组数码管作为东西和南北方向的允许或通行时间的到计时显示,显示时间为红灯45秒、绿灯40秒、黄灯5秒。

3.能实现紧急状态处理的功能

出现紧急状态(例如消防车,警车执行特殊任务时要优先通行)时,两路上所有车禁止通行,红灯全亮;

(1)显示到计时的两组数码管闪烁;

(2)计数器停止计数并保持在原来的状态;

4.能实现系统复位功能

系统复位后,东西绿灯,南北红灯,东西计时器显示40秒,南北显示45秒。

5.用VHDL语言设计符合上述功能要求的交通灯控制器,并用层次化设计方法设计该电路。

6.个模块的功能用功能仿真的方法验证,可通过有关波形确认电路设计是否正确。

7.完成电路全部设计后,通过系统实验箱下载验证设计课题的正确性。

四:

交通灯控制器的状态:

五、交通灯控制器原理框图:

六、算法流程图:

七、顶层原理图:

8、子模块的设计:

控制部分的设计

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entityledcontrolis

port(reset,clk,urgen:

instd_logic;

state:

outstd_logic_vector(1downto0);

sub,set1,set2:

outstd_logic);

endledcontrol;

architectureaofledcontrolis

signalcount:

std_logic_vector(6downto0);

signalsubtemp:

std_logic;

begin

sub<

=subtempand(notclk);

statelable

process(reset,clk)

ifreset='

1'

then

count<

="

0000000"

;

state<

00"

elsifclk'

eventandclk='

ifurgen='

0'

thencount<

=count+1;

subtemp<

='

elsesubtemp<

endif;

ifcount=0thenstate<

set1<

set2<

elsifcount=25thenstate<

01"

elsifcount=30thenstate<

10"

elsifcount=45thenstate<

11"

elsifcount=50thencount<

elseset1<

endprocessstatelable;

enda;

显示部分的设计:

entityledshowis

port(clk,urgen:

instd_logic_vector(1downto0);

r1,g1,y1,r2,g2,y2:

outstd_logic;

led1,led2:

outstd_logic_vector(7downto0));

endledshow;

architectureaofledshowis

signalcount1,count2:

std_logic_vector(7downto0);

signalsetstate1,setstate2:

signaltg1,tg2,tr1,tr2,ty1,ty2:

std_logic;

led1<

11111111"

whenurgen='

andclk='

elsecount1;

led2<

elsecount2;

tg1<

whenstate="

andurgen='

else'

ty1<

tr1<

whenstate

(1)='

orurgen='

tg2<

ty2<

tr2<

setstate1<

="

00100101"

else

"

00000101"

00100000"

setstate2<

00010101"

00110000"

label2:

process(sub)

ifsub'

eventandsub='

ifset2='

count2<

=setstate2;

elsifcount2(3downto0)="

0000"

thencount2<

=count2-7;

elsecount2<

=count2-1;

endif;

g2<

=tg2;

r2<

=tr2;

y2<

=ty2;

endprocesslabel2;

label1:

ifset1='

count1<

=setstate1;

elsifcount1(3downto0)="

thencount1<

=count1-7;

elsecount1<

=count1-1;

g1<

=tg1;

r1<

=tr1;

y1<

=ty1;

endprocesslabel1;

分频器部分的设计

usework.p_alarm.all;

entitydivideris

port(clk_in:

reset:

clk:

enddivider;

architectureartofdivideris

constantdivide_period:

t_short:

=1000;

process(clk_in,reset)is

variablecnt:

t_short;

begin

if(reset='

)then

cnt:

=0;

clk<

elsifrising_edge(clk_in)then

if(cnt<

=(divide_period/2))then

clk<

cnt:

=cnt+1;

elsif(cnt<

(divide_period-1))then

else

endif;

endif;

endprocess;

endart;

p_alarm程序包

packagep_alarmis

subtypet_digitalisintegerrange0to9;

subtypet_shortisintegerrange0to65535;

typet_clock_timeisarray(5downto0)oft_digital;

typet_displayisarray(5downto0)oft_digital;

endpackagep_alarm;

9、仿真:

对交通灯控制部分进行仿真

在QuartusII软件中导入交通灯控制程序,对此程序编译无错误后,建立Vectorwaveformfile文件保存时仿真文件名要与设计文件名一致。

在其中设计始终开始时间为0,结束时间为5us,周期为50ns。

仿真结果如下:

当reset='

state<

count<

在上升沿到来时执行当count=0则state<

count=25state<

count=30thenstate<

count=45thenstate<

count=50thencount<

,否则set1<

仿真的结果正确。

对交通灯显示部分模块进行仿真

在QuartusII软件中导入交通灯显示程序,对此程序编译无错误后,建立Vectorwaveformfile文件保存时仿真文件名要与设计文件名一致。

将控制仿真的结果贴到显示仿真中,两次在其中设计的开始时间为0,结束时间为5us,周期为50ns。

仿真结果与程序所要的结果一样。

当state=”00”时g1<

=1;

当state

(1)<

=’0’时r2<

=’1’.

当urgen=‘1’时r1<

=’1’,r2<

=’1’;

仿真结果与程序设计符合。

对交通灯系统进行仿真

在QuartusII软件中导入交通灯系统程序,对此程序编译无错误后,建立Vectorwaveformfile文件保存时仿真文件名要与设计文件名一致。

在其中设计开始时间为0,结束时间为5us,周期为50ns。

系统仿真的结果符合设计要求。

与前面仿真的结果也一致。

十、引脚的配置-----------程序的下载

适配后生成的下载或配置文件通过编程器,对CPLD的下载称为编程(Program)。

点击QuartusII软件中的Program就实行了本次试验的下载。

最后对载入了设计的FPGA或CPLD的硬件系统进行统一测试,以便最终验证设计项目在目标系统上的实际工作情况,以排除错误,改进设计。

在试验箱上按下k2东西红灯和南北红灯都亮。

按下k1即显示时间复位。

当k1、k2不按时交通灯正常即东西红灯亮时南北绿灯亮,且时间相差5秒即为南北黄灯的时间。

当南北红灯亮时,东西绿灯亮,且时间相差4秒即为东西黄灯亮的时间。

11、实验心得:

这次综合实验要求很高,我在思考和设计上花了不少时间,其中收获也是不少。

(1)拿到一个project后,不要急于写程序,首先要搞清楚要干什么,完成什么功能,分几部分完成,每部分完成什么功能,各部分之间的联系。

如果采用的是自顶向下的设计思想,

那么顶层的设计就很重要,顶层设计好后,下面各部分写起来就比较清楚容易了。

一旦出现问题,也好检查错误出在什么地方,哪一部分有问题,就进行相应的改动。

(2)在编程过程中,思路要清楚,明白要实现的功能,然后根据实现的功能一步一步的编写。

而且要采用各个击破的办法,先实现下层部分,再整体实现。

(3)编下层时,不用急于仿真,等整体编译成功后,先进行总体仿真,看出现的错误在什么地方,这个地方是由哪个部分控制的,然后再对该部分进行仿真,看有没有问题。

(4)编译成功后,不要急于下载,一定要先仿真,根据仿真波形判断是否达到要求。

一般仿真成功后,只要电路连接没问题,下载后就没什么问题了。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 农林牧渔 > 林学

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2