基于VHDL语言的多功能波形发生器设计与仿真.docx

上传人:b****3 文档编号:4908159 上传时间:2023-05-07 格式:DOCX 页数:13 大小:118.78KB
下载 相关 举报
基于VHDL语言的多功能波形发生器设计与仿真.docx_第1页
第1页 / 共13页
基于VHDL语言的多功能波形发生器设计与仿真.docx_第2页
第2页 / 共13页
基于VHDL语言的多功能波形发生器设计与仿真.docx_第3页
第3页 / 共13页
基于VHDL语言的多功能波形发生器设计与仿真.docx_第4页
第4页 / 共13页
基于VHDL语言的多功能波形发生器设计与仿真.docx_第5页
第5页 / 共13页
基于VHDL语言的多功能波形发生器设计与仿真.docx_第6页
第6页 / 共13页
基于VHDL语言的多功能波形发生器设计与仿真.docx_第7页
第7页 / 共13页
基于VHDL语言的多功能波形发生器设计与仿真.docx_第8页
第8页 / 共13页
基于VHDL语言的多功能波形发生器设计与仿真.docx_第9页
第9页 / 共13页
基于VHDL语言的多功能波形发生器设计与仿真.docx_第10页
第10页 / 共13页
基于VHDL语言的多功能波形发生器设计与仿真.docx_第11页
第11页 / 共13页
基于VHDL语言的多功能波形发生器设计与仿真.docx_第12页
第12页 / 共13页
基于VHDL语言的多功能波形发生器设计与仿真.docx_第13页
第13页 / 共13页
亲,该文档总共13页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

基于VHDL语言的多功能波形发生器设计与仿真.docx

《基于VHDL语言的多功能波形发生器设计与仿真.docx》由会员分享,可在线阅读,更多相关《基于VHDL语言的多功能波形发生器设计与仿真.docx(13页珍藏版)》请在冰点文库上搜索。

基于VHDL语言的多功能波形发生器设计与仿真.docx

基于VHDL语言的多功能波形发生器设计与仿真

基于VHDL语言的多功能波形发生器设计与仿真

尹海涛102081101163

电信学院控制理论与控制工程

摘要:

本文简要介绍了VHDL(超高速集成电路硬件描述语言)的情况和应用前景,并利用VHDL语言设计出多功能波形发生器,并进行仿真研究,采用VHDL编程实现,基于可编程逻辑器件CPLD设计多波形发生器的设计方案。

整个系统除晶体振荡器和D/A转换外,全部集成在一片芯片上。

它可输出频率可调的正弦波、三角波、锯齿波、方波和两种或三种波形线性组合的10种波形。

任意波形模块可由用户自行编辑所需波形数据,经下载在不改变整个系统硬件连接的情况下,输出用户所需的特殊波形,实现了传统的波形发生器不具有的一些波形的产生。

整个设计采用VHDL编程实现,其设计过程简单,极易修改,可移植性强。

关键词:

VHDL语言;程序设计;多功能波形发生器;线性组合;

BasedonVHDLLanguageMultifunctionalWaveformGeneratorDesignAndSimulation

Abstract:

ThisarticlebrieflyintroducedtheVHDL(integratedcircuithardwareultra-highspeeddescriptionlanguage)thesituationandtheapplicationprospectofVHDLlanguage,anddesignamulti-functionwaveformgenerator,andsimulationresearch,theprogramming,basedontheVHDLprogrammablelogicdevicesCPLDdesignmanywaveformgeneratordesignscheme.ThewholesysteminadditiontocrystaloscillatorandD/Atransformationotherwise,allinAintegratedchip.Itcanoutputfrequencyadjustablesinewave,trianglewave,thesawtoothwave,squarewaveandtwoorthreewaveformoflinearcombinationof10waveform.Arbitrarywaveformmodulecanberequiredbytheusertoeditthedatainthedownload,wavedoesnotchangethesystemhardwareconnection,theoutputofthecustomerneedspecialwaveform,realizethetraditionalwaveformgeneratorisnotsomeofthewaveformproduction.Thewholedesignbytheprogramming,anditsdesignVHDLprocesssimpleandeasytomodify,portabilityisstrong.

keywords:

wavegenerator;linearcombination;VHDL;CPLD

第1章绪论

1.1研究波形发生器的目的与意义

波形发生器是信号源的一种,主要给被测电路提供所需要的己知信号(各种波形),然后用其它仪表测量感兴趣的参数。

可见信号源在各种实验应用和试验测试处理中的应用非常广泛。

它不是测量仪器,而是根据使用者的要求,作为激励源,仿真各种测试信号,提供给被测电路,以满足测量或各种实际需要。

目前我国己经开始研制波形发生器,并取得了可喜的成果。

但总的来说,我国波形发生器还没有形成真正的产业。

就目前国内的成熟产品来看,多为一些PC仪器插卡,独立的仪器和VXI系统的模块很少,并且我国目前波形发生器的种类和性能都与国外同类产品存在较大的差距,因此加紧对这类产品的研制显得迫在眉睫。

1.2波形发生器的发展现状和前景展望

波形发生器是能够产生大量的标准信号和用户定义信号,并保证高精度、高稳定性、可重复性和易操作性的电子仪器。

函数波形发生器具有连续的相位变换、和频率稳定性等优点,不仅可以模拟各种复杂信号,还可对频率、幅值、相移、波形进行动态、及时的控制,并能够与其它仪器进行通讯,组成自动测试系统,因此被广泛用于自动控制系统、震动激励、通讯和仪器仪表领域。

在70年代前,信号发生器主要有两类:

正弦波和脉冲波,而函数发生器介于两类之间,能够提供正弦波、余弦波、方波、三角波、上弦波等几种常用标准波形,产生其它波形时,需要采用较复杂的电路和机电结合的方法。

这个时期的波形发生器多采用模拟电子技术,而且模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点,并且要产生较为复杂的信号波形,则电路结构非常复杂。

同时,主要表现为两个突出问题,一是通过电位器的调节来实现输出频率的调节,因此很难将频率调到某一固定值;二是脉冲的占空比不可调节。

在70年代后,微处理器的出现,可以利用处理器、A/D和D/A,硬件和软件使波形发生器的功能扩大,产生更加复杂的波形。

这时期的波形发生器多以软件为主,实质是采用微处理器对DAC的程序控制,就可以得到各种简单的波形。

90年代末,出现几种真正高性能、高价格的函数发生器、但是HP公司推出了型号为HP770S的信号模拟装置系统,它由HP8770A任意波形数字化和HP1776A波形发生软件组成。

HP8770A实际上也只能产生8种波形,而且价格昂贵。

不久以后,Analogic公司推出了型号为Data-2020的多波形合成器,Lecroy公司生产的型号为9100的任意波形发生器等。

到了二十一世纪,随着集成电路技术的高速发展,出现了多种工作频率可过GHz的DDS芯片,同时也推动了函数波形发生器的发展。

2003年,Agilent的产品33220A能够产生17种波形,最高频率可达到20M,2005年的产品N6030A能够产生高达500MHz的频率,采样的频率可达1.25GHz。

由上面的产品可以看出,函数波形发生器发展很快。

近几年来,国际上波形发生器技术发展主要体现在以下几个方面:

(1)过去由于频率很低应用的范围比较狭小,输出波形频率的提高,使得波形发生器能应用于越来越广的领域。

波形发生器软件的开发正使波形数据的输入变得更加方便和容易。

波形发生器通常允许用一系列的点、直线和固定的函数段把波形数据存入存储器。

同时可以利用一种强有力的数学方程输入方式,复杂的波形可以由几个比较简单的公式复合成v=f(t)形式的波形方程的数学表达式产生。

从而促进了函数波形发生器向任意波形发生器的发展,各种计算机语言的飞速发展也对任意波形发生器软件技术起到了推动作用。

目前可以利用可视化编程语言(如VisualBasic,VisualC等等)编写任意波形发生器的软面板,这样允许从计算机显示屏上输入任意波形,来实现波形的输入。

(2)与VXI资源结合。

目前,波形发生器由独立的台式仪器和适用于个人计算机的插卡以及新近开发的VXI模块。

由于VXI总线的逐渐成熟和对测量仪器的高要求,在很多领域需要使用VXI系统测量产生复杂的波形,VXI的系统资源提供了明显的优越性,但由于开发VXI模块的周期长,而且需要专门的VXI机箱的配套使用,使得波形发生器VXI模块仅限于航空、军事及国防等大型领域。

在民用方面,VXI模块远远不如台式仪器更为方便。

(3)随着信息技术蓬勃发展,台式仪器在走了一段下坡路之后,又重新繁荣起来。

不过现在新的台式仪器的形态,和几年前的己有很大的不同。

这些新一代台式仪器具有多种特性,可以执行多种功能。

而且外形尺寸与价格,都比过去的类似产品减少了一半。

1.3任意波形发生器

任意波形发生器是在1975年开发成功的,从此,信号发生器增加了一个新品种。

在任意波形发生器作为测量用信号激励源进入市场之前,为了产生非正弦波信号,已使用函数发生器提供三角波、斜波、方波和余弦波等几种特殊波形。

声音和振动分析需要复杂调制的信号源,以便仿真真实的信号,只有借助任意波形发生器,例如医疗测试往往需要心电波形,任意波形发生器很容易产生各种非标准的振动信号。

早期的任意波形发生器主要着重音频频段,现在的波形发生器已扩展到射频频段,它与数字示波器(DSO)密切配合,只要数字示波器捕获的信号,任意波形发生器就能复制出同样的波形。

在电路构成上,数字示波器是模拟/数字转换,任意波形发生器是模拟/数字的逆转换,目前任意波形发生器的带宽已达到2GHZ,足够仿真许多移动通信、卫星通信的许多复杂信号。

生产数字示波器的仪器公司一般都供应任意波形发生器,如安捷伦、力科、泰克公司,也有只生产任意波形发生器的公司,如雷科、斯坦福公司。

仪器有台式、PC机虚拟、VXI总线、PXI总线等多种方式,大部分产品只有1路输出,有的高达16路输出。

仪器采样率从最低的100KS/S到4GS/S,相当实时带宽50KHZ到最高的2GHZ。

产生任意波形的方法主要有两种:

即存储器和直接数字合成(DDS),前者比较简单,分两种形式:

相位累加器式与计数器式,但需要较深的存储容量。

仪器的垂直分辨率有8位至16位,采样率越高时分辨率越低,主要受数/模转换器和存储器特性的影响。

存储器的容量从最小的8K(12位)到16M(8位),通常可根据客户要求扩充容量。

任意波形发生器的波形定义主要有面板设定、方程式设定、波形下载、软件设定、数字示波器下载、内置编辑器等多种。

软件大部分采用Windows、LabVIEW或VXIpnp,总线主要是GPIB(台式仪器)VXI(模块仪器)、PCI/PXI(PC机仪器)、RS-232(通信)、LAN(网络)等。

任意波形发生器的应用非常广泛,在原理上可仿真任意波形,只要数字示波器或其他记录仪捕捉到的波形,任意波形发生器都可复制出,特别有用的是仿真单次偶发的信号,例如地震波形、汽车碰撞波形等等。

以下是几种尖端技术中任意波形发生器产生的复杂测量信号:

第一、雷达信号仿真――雷科公司的任意波形发生器有调幅、调频和脉冲三组输出,组合调制信号输入微波信号发生器产生复杂的雷达信号模式,用于仿真飞行器的雷达信令。

由于三种调制信号有严格的同步和低的相位噪声,使这种序列信号既稳定又相位噪声极低,序列内内科插入触发、波形循环、断点而不会失去同步,从而扩展成为复杂的波形产生设备。

第二、卫星音调仿真――任意波形发生器和微波信号发生器一起可产生通信卫星的音调仿真。

用于测试地面接收站特性。

任意波形发生器驱动上变频器在适当频率下产生几种音调,在被测通道的测试序列插入空白段,用于播送实况信号。

任意波形发生器的数量视音调数目和间隔而定。

这种测试方法同样可用来测试在同一通信链路内收发多个数据流的电信系统。

第三、微机电系统的驱动――微机电系统有机械、光学、电学的多种信号,需要几台任意波形发生器仿真激励和执行机构的复杂信号,信号之间有严格的定时关系。

第四、磁盘驱动器仿真――磁盘驱动器产生的同步数字和模拟信号可由任意波形发生器仿真,用于读∕写数据的测试,这种混合信号仿真可作为平板LCD、等离子高清晰度电视等的测试信号。

第五、数字通信的仿真――第三代移动通信属于多制式多信号的综合,对于这种包括语音、图像和数据的复杂调制信号AWG可发挥积极作用和产生非常逼真的信号。

第六、任意波形发生器复制数字示波器的偶发信号――利用两种仪器的互补特点,任意波形发生器可复制出数字示波器的很难捕捉到的毛刺信号。

1.4波形发生器的设计方法

传统的波形发生器大多采用模拟分立元件实现,产生的波形种类受电路硬件的限制,体积大,灵活性和稳定性相对较差,电路较复杂,设计周长长,调制较繁琐。

目前波形合成技术主要有两种常用的方法,一种是使用专用的DDS(直接频率合成)芯片,一种是基于CPLD/FPGA的解决方案。

DDS的原理:

将要产生的波形数据存入波形存储器中,然后在参考脉冲的作用下,对输入的频率数据进行累加,并将累加器输出的一部分作为读取波形存储器的地址,将读出的波形数据经D/A转换为相应的电压信号,D/A转换输出的一系列的阶梯电压信号经低通滤波器滤波后便输出了光滑的合成波形信号。

第二章单元电路设计

2.1系统组成及工作原理

完整的系统由4个部分组成:

波形发生控制电路,波形数据ROM,D/A转换和滤波电路。

波形发生控制电路由VHDL来完成,正弦波在一个周期内的波形可以通过数字采样,即用N个幅值离散的等间隔采样点表示。

如图1-2-3-1为正弦波和三角波采样的原理,设其周期为T,采样点数为N,采样间隔为△t,T=N*△t。

那么就可以得到图示的数字信号。

要改变其频率只需要改变其采样的时间间隔△t,由于采样点数N不变,N=△t*T,因此,△t越小,T就越大,对应的频率就越大。

再通过数模转换,便可以得到光滑的正弦波和三角波。

图1.1正弦波和三角波采样原理图

VHDL波形发生控制电路通过外来控制信号和高速时钟信号,向波形数据ROM发出地址信号,ROM的大小由采样点的个数决定。

波形ROM中存有发生器的波形数据,如正弦波或三角波数据。

当接受来自VHDL的地址信号后,将从数据线输出相应的波形数据。

取出采样点的幅值,这样就可以产生数字化的波形。

采样点越多,那么得到的波形就越光滑,但是相应的存储器的容量就需要得越大,因此,需要正确的选择采样点数。

四种波形单周期的取样示意图:

如图是波形发生与扫频信号发生器电路方框图,它通过外来控制信号和高速时钟信号,向波形数据ROM发出地址信号,输出波形的频率由发出的地址信号的速度决定;当以固定频率扫描输出地址时,模拟输出波形是固定频率,而当以周期性时变方式扫描输出地址时,则模拟输出波形是扫频信号。

2.2波形合成器的设计

2.2.1正弦波合成

对一个幅度为1的正弦波的一个周期进行1024点采样,用Matlab计算得到每一点对应的幅度值,然后量化成8位二进制数据存放在ROM中,理论上,采样的点数及量化的位数越多,合成的波形精确度越高,但是,D/AC0832的位数只有8位,量化等级最高为256,其量化误差已能达到要求,对于查正弦表的舍入误差也可忽略,故不再细分。

这里采用1024个采样点,是为了调频时能得到较好的波形。

依次取出ROM中的数据,即可得到幅度上是阶梯型的正弦波。

再经过D/A转换,便可得到连续的正弦波。

2.2.2三角波、锯齿波、矩形波的合成

由于三角波、锯齿波、矩形波波形变化是线性,因此不需要进行波形存储。

三角波的产生是使幅度逐次增加一个相位进,一直到最大值后变为步逐次减少一个相位步进,如此便产生一个周期的波形,锯齿波与三角波类似,只是到达最大值后又从0开始。

矩形波的产生更为简单,只需根据占空比,调节一个周期内输出高、低电平的时间即可。

图1三角波、矩形波、锯齿波发生器及相位累加器程序流程

由于波形发生控制器和波形数据ROM都是利用硬件描述语言VHDL编程实现的,所以统称为波形发生模块。

波形发生控制器的作用是利用FPGA选择产生正弦波或者三角波,然后再发出地址信号,取ROM中存好的正弦波或三角波的采样数据。

波形发生模块包括幅度设置、正弦波/三角波切换和频率设置三个进程。

幅度设置模块可以对输出波形的幅度进行设置。

可以得到基波幅度的1~10倍幅度值。

正弦波/三角波切换进程的作用是完成通过按键来选择输出三角波或者三角波。

频率设置进程主要是对输出的频率进行设置,在前面已经介绍过正弦波发生的原理,基于此原理,在程序设计的时候,只要合适的改变采样点的时间间隔就可完成频率设置。

图2波形发生与扫频信号发生器电路方框图二

2.3频率与幅度调节的原理及实现

由于采用DDFS,在ROM中存有波形一个周期的n个等间隔归一化采样数据,改变相位累加器的步进,从而改变对ROM中数据的读取速度,即可合成不同频率的波形,存储器中存入过量的采样值,使得采样点数较少时,依然能够得到较好的波形输出,从而得到较高的频率输出。

否则,采样点数太少会使产生的波形严重失真。

输出波形的频率可由式(2-1)计算:

式(2-1)

其中,fosc为晶振频率,k为分频系数,N为相位累加器位数,S为相位累加器步长。

若取fosc=32.768MHz,k=50,N=16,带入上式得到f0=10S(Hz)。

因此,只要控制S的值就可以准确地实现频率步进为10Hz的等步进调频。

但是,现有的晶振为32MHz,通过实验测试、比较,可用下式计算频率:

式(2-2)

也能得到10Hz精确的等步进调节,但牺牲了波形的质量,因为65306不是一个2N的数,这样波形会漏掉少量采样点。

不过,即使这样,得到的波形依然很平滑,可以满足设计要求。

若要使频率调节步进减小到1Hz,对晶振有特殊要求,它的振荡频率必须是2的N次幂。

由式(2-1)举例说明累加器位数不同产生的差异:

式(2-3)

式(2-4)

式(2-4)产生的波形将远远优于式(2-3),更优于我们现在所得到的波形,最高频率可提高几倍。

另外,由于DAC0832的电流建立时间是1μs,因此输出波形的最高频率由下式决定:

因此,要得到更高频率的波形需使用更高速的D/A转换器。

2.4D/A转换模块的设计

D/A转换器负责将ROM输出的数据转换成模拟信号,经滤波电路后输出。

输出波形分频率上限与D/A器件的转换速度由重要关系。

采用的是DAC0832作为A/D转换器件。

DAC0832是8位D/A转换器,转换周期为1μs,其引脚信号可以直接与FPGA目标芯片相接。

图2.1芯片的引脚图

图2.1为其芯片的引脚图。

各引脚的说明如下:

ILE:

数据锁存允许控制信号输入口线,高电平有效;

WR1、WR2:

写信号1、2,低电平有效;

XFER:

数据传输控制信号,低电平有效;

VREF:

基准电压,可正可负,-10V~+10V;

RFB:

反馈电阻端;

IOUT1/IOUT2:

电流输出端;

AGND/DGND:

模拟地与数字地。

在高速情况下,此二GND地的连接线必须尽可能短,且系统的单点接地点须接在此连续的某一点上。

程序中的正弦波或者三角波的波形数据由64个点构成,此数据经DAC0832,并经过滤波器后,得到光滑的正弦波。

D/A转换量是以电流形式输出的,所以必须将其变为电压信号才能送入滤波电路。

2.5滤波电路模块的设计

2-3-2RC滤波电路图

滤波电路可考虑采用二阶巴特沃兹低通滤波器或者RC低通滤波器。

巴特沃兹滤波器的幅度函数是单调下降的,由于n阶低通巴特沃斯滤波器的前(2n-1)阶导数在ω=0处为零,所以巴特沃斯滤波器也称为最大平坦幅度滤波器,该方案滤波性能较好,但构造和参数设置比较复杂。

价格也比较昂贵。

而RC低通滤波器可以消除在信号产生过程中有干扰性的高频信号,由于不须运算发大器,参数

计算容易,对系统要求不高。

因此在本设计中,利用RC低通滤波器就可以达到要求。

结束语

本设计基于VHDL的多功能波形发生器,充分利用VHDL所具有的静态可重复编程和动态在系统重构的特性,使得硬件的功能可以像软件一样通过编程来修改,从而提高开发效率,缩短研发周期。

测试结果表明系统产生的波形稳定,抗干扰能力强,频率和幅度调节方便,精度高。

实现了各种波形的产生,尤其是实现了传统的函数信号发生器不具有的一些波形的产生。

输出波形频率按设计要求可调,并达到一定的精度

经过分析和研究,最终完成了该实验设计的基本要求,输出多种不同的波形实现信号频率可调,并且在此基础上调用了锁相环技术,增加了输出信号幅度可调。

经过测量显示输出波形信号稳定。

通过该信号发生器的设计,学习和掌握了D/A转换,更加熟练地使用VHDL硬件电路语言的编程并熟练地掌语言编写过程中的握数据的控制、运用quartusII仿真和调用modelsim仿真。

熟悉软件在编译过程中出现的错误和排除这些错误。

编写代码时应该细分代码实现的功能,从很小的一个功能部分开始编写,不能全部一起编写,要先编写一小部分进行仿真。

测试成功之后再编写下一个功能模块,最好能使用模块化设计,以功能分开编写,分别测试。

一步步实现功能。

如果没有细分的话,容易出问题,而且出现问题也不知道是哪一小部分,无从排除。

发现编写实现相同的不同代码占有VHDL的逻辑单元也大小不一,通过对语言的简化可以节省很多逻辑单元。

觉得硬件编程的最高境界应该是“用最简单的语言描述最复杂的硬件”。

参考文献

(1)王祖强.电子设计自动化技术.山东大学出版社,2005

(2)黄仁欣.EDA技术实用教程.清华大学出版社,2006

(3)黄志伟.全国大学生电子设计竞赛系统设计.北京航空航天大学出版社,2006

(4)徐志军,王金明等.《EDA与PLD设计》,2001

(5)卢毅,赖杰.VHDL与数字电路设计.科学出版社,2001

(6)FundamentalsofDigitalLogicwithVHDLDesign.chinamachinepress,2002

(7)孙建凤.数字存储示波器的原理、特点及发展动态.宇航计测技术,1996,16(6)

(8)李国丽,朱维勇.《EDA与数字系统设计》.机械工业出版社,2004

(9)王行,李衍.TheintroductionandImprovementofEDATechnology.西安电子出版社,2005

(10)HDLCompilerforVerilogReferenceManual.SynopsysInc.1999

(11)卢毅等.《VHDL与数字电路设计》.科学出版社,2002

(12)潘松,黄继夜.EDA技术实用教程.科学出版社,2004

(13)李国丽等.EDA与数字系统设计.机械工业出版社,2004

(14)翁木云.FPGA设计与应用.西安电子科技大学,2003

(15)林明权.VHDL数字控制系统设计范例.电子工业出版社,2003

(16)陈意军,王迎旭.CPLD在频率测控系统中的应用.半导体技术,2001,26(12)

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 解决方案 > 学习计划

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2