半导体词汇1Word文档格式.docx

上传人:b****2 文档编号:5743189 上传时间:2023-05-05 格式:DOCX 页数:24 大小:32.77KB
下载 相关 举报
半导体词汇1Word文档格式.docx_第1页
第1页 / 共24页
半导体词汇1Word文档格式.docx_第2页
第2页 / 共24页
半导体词汇1Word文档格式.docx_第3页
第3页 / 共24页
半导体词汇1Word文档格式.docx_第4页
第4页 / 共24页
半导体词汇1Word文档格式.docx_第5页
第5页 / 共24页
半导体词汇1Word文档格式.docx_第6页
第6页 / 共24页
半导体词汇1Word文档格式.docx_第7页
第7页 / 共24页
半导体词汇1Word文档格式.docx_第8页
第8页 / 共24页
半导体词汇1Word文档格式.docx_第9页
第9页 / 共24页
半导体词汇1Word文档格式.docx_第10页
第10页 / 共24页
半导体词汇1Word文档格式.docx_第11页
第11页 / 共24页
半导体词汇1Word文档格式.docx_第12页
第12页 / 共24页
半导体词汇1Word文档格式.docx_第13页
第13页 / 共24页
半导体词汇1Word文档格式.docx_第14页
第14页 / 共24页
半导体词汇1Word文档格式.docx_第15页
第15页 / 共24页
半导体词汇1Word文档格式.docx_第16页
第16页 / 共24页
半导体词汇1Word文档格式.docx_第17页
第17页 / 共24页
半导体词汇1Word文档格式.docx_第18页
第18页 / 共24页
半导体词汇1Word文档格式.docx_第19页
第19页 / 共24页
半导体词汇1Word文档格式.docx_第20页
第20页 / 共24页
亲,该文档总共24页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

半导体词汇1Word文档格式.docx

《半导体词汇1Word文档格式.docx》由会员分享,可在线阅读,更多相关《半导体词汇1Word文档格式.docx(24页珍藏版)》请在冰点文库上搜索。

半导体词汇1Word文档格式.docx

Line 

PAL制式(逐行倒相制式) 

SEquential 

Couleur 

Avec 

Memoire 

SECAM制式(顺序与存储彩色电视系统) 

半导体词汇3

A

1.Video 

Demand 

视频点播 

2.DPI 

Dot 

Per 

Inch 

点每英寸 

3. 

A.M.U 

原子质量数 

4. 

ADI 

After 

develop 

inspection显影后检视 

5. 

AEI 

蚀科后检查 

6. 

Alignment 

排成一直线,对平 

7. 

Alloy 

融合:

电压与电流成线性关系,降低接触的阻值 

8. 

ARC:

 

anti-reflect 

coating 

防反射层 

9. 

ASHER:

一种干法刻蚀方式 

10. 

ASI 

光阻去除后检查 

B

11. 

Backside 

晶片背面 

12. 

Etch 

背面蚀刻 

13. 

Beam-Current 

电子束电流 

14. 

BPSG:

含有硼磷的硅玻璃 

15. 

Break 

中断,stepper机台内中途停止键 

C

16. 

Cassette 

装晶片的晶舟 

17. 

CD:

critical 

dimension 

关键性尺寸 

18. 

Chamber 

反应室 

19. 

Chart 

图表 

20. 

Child 

lot 

子批 

21. 

(die) 

晶粒 

22. 

CMP 

化学机械研磨 

23. 

Coater 

光阻覆盖(机台) 

24. 

Coating 

涂布,光阻覆盖 

25. 

Contact 

Hole 

接触窗 

26. 

Control 

Wafer 

控片 

27. 

Critical 

layer 

重要层 

28. 

CVD 

化学气相淀积 

29. 

Cycle 

time 

生产周期 

D

30. 

Defect 

缺陷 

31. 

DEP:

deposit 

淀积 

32. 

Descum 

预处理 

33. 

Developer 

显影液;

显影(机台) 

34. 

Development 

显影 

35. 

DG:

dual 

gate 

双门 

36. 

DI 

water 

去离子水 

37. 

Diffusion 

扩散 

38. 

Doping 

掺杂 

39. 

Dose 

剂量 

40. 

Downgrade 

降级 

41. 

DRC:

design 

rule 

check 

设计规则检查 

42. 

Dry 

Clean 

干洗 

43. 

Due 

date 

交期 

44. 

Dummy 

wafer 

挡片 

E

45. 

E/R:

etch 

rate 

蚀刻速率 

46. 

EE 

设备工程师 

47. 

End 

Point 

蚀刻终点 

48. 

ESD:

electrostatic 

discharge/electrostatic 

damage 

静电离子损伤 

49. 

ET:

蚀刻 

50. 

Exhaust 

排气(将管路中的空气排除) 

51. 

Exposure 

曝光 

F

52. 

FAB 

工厂 

53. 

FIB:

focused 

ion 

beam 

聚焦离子束 

54. 

Field 

Oxide 

场氧化层 

55. 

Flatness 

平坦度 

56. 

Focus 

焦距 

57. 

Foundry 

代工 

58. 

FSG:

含有氟的硅玻璃 

59. 

Furnace 

炉管 

G

60. 

GOI:

oxide 

integrity 

门氧化层完整性 

H

61. 

H.M.D.S 

Hexamethyldisilazane,经去水烘烤的晶片,将涂上一层增加光阻与晶片表面附着力的化合物,称H.M.D.S 

62. 

HCI:

hot 

carrier 

injection 

热载流子注入 

63. 

HDP:

high 

density 

plasma 

高密度等离子体 

64. 

High-Voltage 

高压 

65. 

Hot 

bake 

烘烤 

I

66. 

ID 

辨认,鉴定 

67. 

Implant 

植入 

L

68. 

Layer 

层次 

69. 

LDD:

lightly 

doped 

drain 

轻掺杂漏 

70. 

Local 

defocus 

局部失焦因机台或晶片造成之脏污 

71. 

LOCOS:

local 

oxidation 

of 

silicon 

局部氧化 

72. 

Loop 

巡路 

73. 

Lot 

批 

M

74. 

Mask 

(reticle) 

光罩 

75. 

Merge 

合并 

76. 

Metal 

Via 

金属接触窗 

77. 

MFG 

制造部 

78. 

Mid-Current 

中电流 

79. 

部门 

N

80. 

NIT:

Si3N4 

氮化硅 

81. 

Non-critical 

非重要 

82. 

NP:

n-doped 

plus(N+) 

N型重掺杂 

83. 

NW:

well 

N阱 

O

84. 

OD:

definition 

定义氧化层 

85. 

OM:

optic 

microscope 

光学显微镜 

86. 

OOC 

超出控制界线 

87. 

OOS 

超出规格界线 

88. 

Over 

过蚀刻 

89. 

flow 

溢出 

90. 

Overlay 

测量前层与本层之间曝光的准确度 

91. 

OX:

SiO2 

二氧化硅 

P

92. 

P.R. 

Photo 

resisit 

光阻 

93. 

P1:

poly 

多晶硅 

94. 

PA;

passivation 

钝化层 

95. 

Parent 

母批 

96. 

Particle 

含尘量/微尘粒子 

97. 

PE:

1. 

process 

engineer;

2. 

enhance 

1、工艺工程师 

2、等离子体增强 

98. 

PH:

photo 

黄光或微影 

99. 

Pilot 

实验的 

100. 

电浆 

101. 

Pod 

装晶舟与晶片的盒子 

102. 

Polymer 

聚合物 

103. 

POR 

Process 

record 

104. 

PP:

p-doped 

plus(P+) 

P型重掺杂 

105. 

PR:

resist 

106. 

PVD 

物理气相淀积 

107. 

PW:

P阱 

Q

108. 

Queue 

等待时间 

R

109. 

R/C:

runcard 

运作卡 

110. 

Recipe 

程式 

111. 

Release 

放行 

112. 

Resistance 

电阻 

113. 

Reticle 

114. 

RF 

射频 

115. 

RM:

remove. 

消除 

116. 

Rotation 

旋转 

117. 

RTA:

rapid 

thermal 

anneal 

迅速热退火 

118. 

RTP:

迅速热处理 

S

119. 

SA:

salicide 

硅化金属 

120. 

SAB:

block 

硅化金属阻止区 

121. 

SAC:

sacrifice 

牺牲层 

122. 

Scratch 

刮伤 

123. 

Selectivity 

选择比 

124. 

SEM:

scanning 

electron 

扫描式电子显微镜 

125. 

Slot 

槽位 

126. 

Source-Head 

离子源 

127. 

SPC 

制程统计管制 

128. 

Spin 

129. 

旋干 

130. 

Sputter 

溅射 

131. 

SRO:

Si 

rich 

富氧硅 

132. 

Stocker 

仓储 

133. 

Stress 

内应力 

134. 

STRIP:

一种湿法刻蚀方式 

T

135. 

TEOS 

– 

(CH3CH2O)4Si 

四乙氧基硅烷/正硅酸四乙酯,常温下液态。

作LPCVD 

/PECVD生长SiO2的原料。

又指用TEOS生长得到的SiO2层。

136. 

Ti 

钛 

137. 

TiN 

氮化钛 

138. 

TM:

top 

metal 

顶层金属层 

139. 

TOR 

Tool 

U

140. 

Under 

蚀刻不足 

141. 

USG:

undoped 

硅玻璃 

W

142. 

(Tungsten) 

钨 

143. 

WEE 

周边曝光 

其它

144. 

mainframe 

主机 

145. 

cassette 

晶片盒 

146. 

amplifier 

放大器 

147. 

enclosure 

外壳 

148. 

wrench 

扳手 

149. 

swagelok 

接头锁紧螺母 

150. 

clamp 

夹子 

151. 

actuator激励 

152. 

STI 

shallow 

trench 

isolantion 

浅沟道隔离层 

153. 

SAB 

硅铝块 

154. 

UBM球下金属层镀模工艺 

155. 

RDL金属连线重排工艺 

156. 

RIE 

reactinv 

反应离子etch 

157. 

ICP 

inductive 

couple 

感应等离子体 

158. 

thin 

film 

transistor 

薄模晶体管 

159. 

ALD 

atomic 

deposition 

原子层淀积 

160. 

BGA 

ball 

grid 

array 

高脚封装 

161. 

AAS 

absorptions 

spectroscopy 

原子吸附光谱 

162. 

AFM 

force 

microscopy 

原子力显微 

163. 

ASIC 

特定用途集成电路 

164. 

ATE 

自动检测设备 

165. 

SIP 

self-ionized 

自电离电浆 

166. 

IGBT 

绝缘门双极晶体管 

167. 

PMD 

premetal 

dielectric 

电容 

168. 

TCU 

temperature 

control 

unit 

温度控制设备 

169. 

arc 

chamber 

起弧室 

170. 

vaporizer 

蒸发器 

171. 

filament 

灯丝 

172. 

repeller 

反射板 

173. 

ELS 

extended 

life 

source 

高寿命离子源 

174. 

analyzer 

magnet 

磁分析器 

175. 

post 

accel 

后加速器 

176. 

quad 

rupole 

lens 

磁聚焦透镜 

177. 

disk/flag 

faraday 

束流测量器 

178. 

e-shower 

中性化电子子发生器 

179. 

extrantion 

electrode 

高压吸极 

180. 

disk 

靶盘 

181. 

rotary 

drive 

旋转运动 

182. 

liner 

直线往复运动 

183. 

gyro 

两方向偏转 

184. 

flat 

aligener 

平边检测器 

185. 

loadlock 

valve 

靶盘腔装片阀 

186. 

reservoir 

水槽 

187. 

string 

filter 

过滤器 

188. 

离子交换器 

189. 

chiller 

制冷机 

190. 

heat 

exchange 

热交换机 

---------------------------------------------------------------------------------------------------------------------

Basic 

Operation 

基本工艺 

制程方法 

Options 

具体分类 

Layering 

增层 

Oxidation 

氧化 

Atmospheric 

常压氧化法 

High 

Pressure 

高压氧化法 

Rapid 

Thermal 

快速热氧化 

Chemical 

Vapor 

Deposition 

化学汽相淀积 

常压化学汽相淀积 

(LPCVD) 

低压化学汽相淀积 

Enhanced 

(PECVD)等离子增强化学汽相淀积 

Epitaxy 

(VPE) 

汽相外延法 

Metaloranic 

(MOCVD) 

金属有机物CVD 

Moleculur 

Beam 

Epitaxy(MBE)分子束外延 

Physical 

Deposition(PCD) 

物理汽相淀积 

Vacuum 

Evaporation 

真空蒸发法 

Sputtering 

溅射法 

Patterning 

光刻 

Resist 

光刻胶 

Positive 

正胶工艺 

Negative 

负胶工艺 

暴光系统 

接触式暴光 

Proximity 

接近式暴光 

Scanning 

Projection 

投影式暴光 

Stepper 

步进暴光机 

Sources 

暴光源 

Mercury 

高压汞 

X-rays 

X射线 

E-Beams 

电子束暴光 

Imaging 

Processes 

成象工艺 

Single 

单层光刻胶 

Multilayer 

多层光刻胶 

Antireflecting 

Layers 

Off-Axis 

Illumination 

偏轴照明 

Planarization 

平坦化 

Contrast 

Enhancement 

对比度提高 

刻蚀 

Wet 

Chemistry-Liqiud/vapor 

湿化学刻蚀 

Dry(Plasma) 

干法刻蚀 

Lift-Off 

剥脱 

Ion 

Millling 

离子磨 

Reaction 

Etch(RIE) 

反应离子刻蚀法 

Open 

Tube-Horizontal/Vertical 

(开放式炉管-水平/竖置) 

Closed 

Tube 

封闭炉管 

Process(RTP) 

快速热处理 

Implantation 

Medium/High 

Current 

中/高电流离子注入 

Low/High 

Voltage(energy) 

低能量/高能量离子注入 

Heating热处理 

Thermal加热 

Plates 

加热盘 

Convection 

热对流 

RTP 

快速加热 

Radiation热辐射 

Infrared 

(IR)红外线加热

Yield 

良率 

Parameter参数 

PAC感光化合物 

ASIC特殊应用集成电路 

Solvent 

溶剂 

Carbide碳 

Refractive折射 

Expansion膨胀 

Strip 

湿式刻蚀法的一种 

mental 

PSG 

硼硅玻璃 

Runcard 

POD 

装晶舟和晶片的盒子 

A/D 

[军] 

Analog.Digital, 

模拟/数字 

AC 

Magnitude 

交流幅度 

交流相位 

Accuracy 

精度 

"

Activity 

Model 

Model"

活动模型 

Additive 

加成工艺 

Adhesion 

附着力 

Aggressor 

干扰源 

Analog 

Source 

模拟源 

AOI,Automated 

Optical 

Inspection 

自动光学检查 

Assembly 

Variant 

不同的装配版本输出 

Attributes 

属性 

AXI,Automated 

X-ray 

自动X光检查 

BIST,Built-in 

Self 

Test 

内建的自测试 

Bus 

Route 

总线布线 

电路基准 

circuit 

diagram 

电路图 

Clementine 

专用共形开线设计 

Cluster 

Placement 

簇布局 

CM 

合约制造商 

Common 

Impedance 

共模阻抗 

Concurrent 

并行设计 

Constant 

恒压源 

Cooper 

Pour 

智能覆铜 

Crosstalk 

串扰 

CVT,Component 

Verification 

and 

Tracking 

元件确认与跟踪 

DC 

直流幅度 

Delay 

延时 

Delays 

Design 

for 

Testing 

可测试性设计 

Designator 

标识 

DFC,Design 

Cost 

面向成本的设计 

DFM,Design 

Manufacturing 

面向制造过程的设计 

DFR,Design 

Reliability 

面向可靠性的设计 

DFT,Design 

面向测试的设计 

DFX,Design 

面向产品的整个生命周期或某个环节的设计 

DSM,Dynamic 

Setup 

Management 

动态设定管理 

Dynamic 

动态布线 

EDIF,The 

Electronic 

Interchange 

Format 

电子设计交互格式 

EIA,Electronic 

Industries 

Association 

电子工业协会 

Electro 

Check 

动态电性能分析 

Electromagnetic 

Disturbance 

电磁干扰 

Noise 

电磁噪声 

EMC,Elctromagnetic 

Compatibilt 

电磁兼容 

EMI,Electromagnetic 

Interference 

Emulation 

硬件仿真 

Engineering 

Change 

Order 

原理图与PCB版图的自动对应修改 

Ensemble 

多层平面电磁场仿真 

ESD 

静电释放 

Fall 

Time 

下降时间 

False 

Clocking 

假时钟 

FEP 

氟化乙丙烯 

FFT,Fast 

Fourier 

Transform 

快速傅里叶变换 

Float 

License 

网络浮动 

Frequency 

Domain 

频域 

Gaussian 

Distribution 

高斯分布 

Global 

flducial 

板基准 

Ground 

Bounce 

地弹反射 

GUI,Graphical 

User 

图形用户界面 

Harmonica 

射频微波电路仿真 

HFSS 

三维高频结构电磁场仿真 

IBIS,Input/Output 

Buffer 

Information 

Specification 

模型 

ICAM,Integrated 

Computer 

Aided 

在ECCE项目里就是指制作PCB 

IEEE,The 

Institute 

Electrical 

Engineers 

国际电气和电子工程师协会 

IGES,Initial 

Graphics 

Exchange 

三维立体几何模型和工程描述的标准 

Image 

Fiducial 

阻抗 

In-Circuit-Test 

在线测试 

Initial 

初始电压 

Input 

Rise 

输入跃升时间 

IPC,The 

Packaging 

Interconnect 

封装与互连协会 

IPO,Interactive 

Optimizaton 

交互过程优化 

ISO,The 

International 

Standards 

Organization 

国际标准化组织 

J

Jumper 

跳线 

Linear 

Suit 

线性设计软件包 

个别基准 

manufacturing 

制造业 

MCMs,Multi-Chip 

Modules 

多芯片组件 

MDE,Maxwell 

Environment 

Nonl

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 工程科技 > 能源化工

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2