数字电路逻辑设计第3章.ppt

上传人:wj 文档编号:5745271 上传时间:2023-05-09 格式:PPT 页数:43 大小:237.50KB
下载 相关 举报
数字电路逻辑设计第3章.ppt_第1页
第1页 / 共43页
数字电路逻辑设计第3章.ppt_第2页
第2页 / 共43页
数字电路逻辑设计第3章.ppt_第3页
第3页 / 共43页
数字电路逻辑设计第3章.ppt_第4页
第4页 / 共43页
数字电路逻辑设计第3章.ppt_第5页
第5页 / 共43页
数字电路逻辑设计第3章.ppt_第6页
第6页 / 共43页
数字电路逻辑设计第3章.ppt_第7页
第7页 / 共43页
数字电路逻辑设计第3章.ppt_第8页
第8页 / 共43页
数字电路逻辑设计第3章.ppt_第9页
第9页 / 共43页
数字电路逻辑设计第3章.ppt_第10页
第10页 / 共43页
数字电路逻辑设计第3章.ppt_第11页
第11页 / 共43页
数字电路逻辑设计第3章.ppt_第12页
第12页 / 共43页
数字电路逻辑设计第3章.ppt_第13页
第13页 / 共43页
数字电路逻辑设计第3章.ppt_第14页
第14页 / 共43页
数字电路逻辑设计第3章.ppt_第15页
第15页 / 共43页
数字电路逻辑设计第3章.ppt_第16页
第16页 / 共43页
数字电路逻辑设计第3章.ppt_第17页
第17页 / 共43页
数字电路逻辑设计第3章.ppt_第18页
第18页 / 共43页
数字电路逻辑设计第3章.ppt_第19页
第19页 / 共43页
数字电路逻辑设计第3章.ppt_第20页
第20页 / 共43页
亲,该文档总共43页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

数字电路逻辑设计第3章.ppt

《数字电路逻辑设计第3章.ppt》由会员分享,可在线阅读,更多相关《数字电路逻辑设计第3章.ppt(43页珍藏版)》请在冰点文库上搜索。

数字电路逻辑设计第3章.ppt

第三章组合逻辑电路,3.1组合逻辑电路概述3.2SSI构成的组合逻辑电路的分析和设计3.3常用的中规模组合逻辑电路的分析3.4用MSI设计组合逻辑电路3.5组合逻辑电路中的竞争冒险,3.1组合逻辑电路概述,在数字系统中,按照结构和逻辑功能的不同将数字逻辑电路分为两大类,一类称作组合逻辑电路,另一类称作时序逻辑电路。

组合逻辑电路在电路结构上的特点是:

单纯由各类逻辑门组成,逻辑电路中不含存储元件;逻辑电路的输入和输出之间没有反馈通路。

3.2SSI构成的组合逻辑电路的分析和设计,3.2.1组合逻辑电路的分析1.组合逻辑电路的分析步骤对于任何一个组合逻辑电路,分析的基本步骤如下:

(1)由给定的逻辑电路逐级写出各个输出端的逻辑表达式,最后得到表示输出与输入关系的逻辑表达式;

(2)化简和变换逻辑表达式为最小项表达式;(3)根据最小项表达式,列出真值表;,(4)由真值表分析其执行的逻辑功能;(5)评价原设计电路,改进设计,寻找最佳设计方案。

2.组合逻辑电路的分析举例,3.2.2组合逻辑电路的设计1.组合逻辑电路的基本设计方法组合逻辑电路的设计,就是根据给定的设计要求,设计出最佳(或最简)的组合电路。

以SSI逻辑门作为电路基本单元的设计,其最佳的含义是,所用门的数目要最少,而且各门输入端的数目和电路的级数也要最少。

组合逻辑电路的设计方法,一般可按如下步骤进行。

对给出的逻辑设计问题,进行逻辑抽象。

即从逻辑的角度来描述设计问题的因果关系,再根据因果关系确定输入变量和输出变量,依据变量的状态进行逻辑赋值,确定哪种状态用逻辑“0”表示,哪种状态用逻辑“1”表示。

根据设计问题的逻辑抽象,列出逻辑真值表。

根据真值表,写出设计问题的逻辑函数表达式。

用SSI逻辑门实现组合逻辑设计时,化简逻辑函数表达式,得到最简的逻辑函数表达式;用MSI集成组件实现组合逻辑设计时,应该把逻辑函数表达式变换成与所用器件的逻辑函数式相同或类似的适当形式。

按最简或适当形式的逻辑函数表达式画出逻辑电路图。

2.用SSI设计组合逻辑电路,3.3常用的中规模组合逻辑电路的分析,3.3.1编码器将二进制码按一定的规律进行编排,使每一组代码具有一定的含义(比如代表某个数或符号),这一过程称为编码。

实现编码的逻辑电路称为编码器。

1.二十进制编码器二十进制编码器的逻辑功能是将十进制的十个数字(09)分别编成四位BCD码。

2.二进制编码器二进制编码器的逻辑功能是将2n个输入信号,编成n位二进制代码输出。

3.优先编码器上述两种编码器,在任一时刻,只允许在一个输入端加入有效电平,当两个以上输入端加入有效电平时,编码器的输出状态将是混乱的。

3.3.2译码器译码是编码的逆过程,它的逻辑功能是将每一组代码的含义“翻译”出来,即将每一组代码译为一个特定的输出信号表示它原来所代表的信息。

能完成译码功能的逻辑电路称为译码器。

1.二进制译码器二进制译码器的原理图如图3-3-6所示。

图3-3-6二进制译码器原理框图,2.二十进制译码器二十进制译码器的逻辑功能是将四位BCD码的十组代码翻译成十组高、低电平输出信号,代表十进制数码。

3.显示译码驱动器在数字系统中,常常需要将某些数字或运算的结果显示出来。

数字显示电路通常由译码器、驱动器和显示器三部分组成。

3.3.3数据分配器和数据选择器1.数据分配器在数据传输过程中,完成将一路输入数据分配到多路输出端的电路称为数据分配器。

它是一种单路输入,多路输出的逻辑器件,从哪一路输出由当时的地址控制端决定。

2.数据选择器在数据传输过程中,经常遇到需要把其中的某一路信号挑选出来。

能完成这一功能的逻辑部件,称为数据选择器(或多路开关)。

它是一种多路输入,单路输出的逻辑器件,从哪一路输入由当时的地址控制端决定。

(1)双四选一数据选择器图3-3-15示出了双四选一数据选择器74LS153的逻辑电路图和逻辑符号图,其中包含两个完全相同的四选一数据选择器。

图3-3-1574LS153的逻辑电路图及逻辑符号图,

(2)八选一数据选择器八选一数据选择器74LS151的逻辑电路图和逻辑符号图如图3-3-17所示。

图3-3-1774LS151的逻辑电路图及逻辑符号图,3.3.4数值比较器在数字和计算机系统中,经常需要比较两个数的大小。

能执行两数比较功能的数字逻辑电路,称为数值比较器。

用来比较的两个数可以是二进制数,也可以是其他进制数。

下面以二进制数为例,讨论数值比较器的构成和工作原理。

1.一位数值比较器A和B均为1位二进制数,进行数值比较,比较结果只能有3种情况:

AB,应使比较器的输出Y(AB)=1;A=B,应使比较器的输出Y(A=B)=1;AB,应使比较器的输出Y(AB)=1。

2.多位数值比较器,3.3.5算术运算电路算术运算电路是数字计算机系统中不可缺少的组成单元,应用十分广泛。

在数字计算机中,加、减、乘、除运算都可以通过加法运算实现,因此加法器是最基本的算术运算单元。

1.一位加法器

(1)半加器半加,是指只考虑本位两个一位二进制数相加,而不考虑来自低位的进位的运算。

(2)全加器全加,是指本位两个一位二进制数相加时,还要考虑来自低位的进位的运算。

实现全加运算的逻辑电路称为全加器。

2.多位加法器要实现两个多位二进制数相加,根据进位信号连接方式的不同,多位加法器可分为串行进位加法器和超前进位加法器。

(1)串行进位加法器由于两个多位二进制数相加时每一位都是带进位相加的,所以可使用全加器。

(2)超前进位加法器为了提高运算速度,必须设法减小或去除由于进位信号逐级传送所花的时间,或者使每位的进位只由加数和被加数来决定而与低位的进位信号无关。

3.一位加减器在控制变量的作用下,既能做加法运算又能做减法运算的逻辑电路称为加减器。

下面我们分析一位全减器。

假定一位二进制数Ai为被减数,Bi为减数,来自低位的借位用Cb表示,Di为全减器的全减差,Cb为借位输出。

3.3.6奇偶校验器/发生器数字信息在传输过程中,由于存在着不可避免的干扰,二进制信息的传输可能出现误码(“1”变为“0”,“0”变为“1”),检测发生误码的一种最简单的方法称作奇偶校验。

这种方法是在有效信息位之外增加一位奇或偶校验位,也称为监督码元,利用这一校验位,使传输的每一个码组中“1”的个数为奇数(奇校验)或偶数(偶校验)。

1.奇偶校验原理电路假定输入变量A、B、C是3位有效信息码,PO为奇校验输出函数,PE为偶校验输出函数。

其真值表如表3-3-15所示。

2.中规模奇偶校验电路74LS280图3-3-30所示为中规模9位奇偶校验器/发生器74LS280的逻辑电路和逻辑符号图。

图3-3-3074LS280的等效逻辑电路及逻辑符号,3.奇偶校验应用简介图3-3-31所示为使用两片9位奇偶校验器/发生器74LS280实现8位数据传输的系统。

图3-3-31奇偶校验系统,3.4用MSI设计组合逻辑电路,1.用译码器实现组合逻辑函数在3.3.2中讨论过,二进制译码器可以产生全部输入地址变量的最小项,而任何一个组合逻辑函数都可以用某些最小项之和来表示,所以可以用二进制译码器生成函数的全部最小项,辅以适当的门电路产生该函数的最小项之和,就可实现所需要的组合逻辑函数。

2.用数据选择器实现组合逻辑函数3.用加法器实现组合逻辑函数加法器的基本功能是实现二进制数的加法,如果要实现的组合逻辑函数能变换成输入变量与输入变量相加的形式,或输入变量与常量相加的形式,这时用加法器实现非常方便。

3.5组合逻辑电路中的竞争冒险,3.5.1产生竞争冒险的原因由于两个信号到达门电路输入端的时间不同,当组合逻辑电路中存在由反相器产生的互补信号,状态发生变化时,组合逻辑电路有可能产生竞争冒险现象。

图3-5-1生产竞争冒险现象的示意图,图3-5-2生产竞争冒险现象的示意图,3.5.2检查竞争冒险的方法当组合电路的输入变量每次只有一个改变状态,或虽有p

(1)个变量同时变化,但对应的2n种输入状态下,电路的输出为全“0”或全“1”时,可用逻辑表达式判断法和卡诺图法来判别。

1.逻辑表达式判断法根据组合逻辑电路写出逻辑表达式,只要该输出逻辑表达式在一定的条件下能化简为Y=A+A或Y=AA则该组合电路存在竞争冒险现象。

2.卡诺图法当输入变量的状态由最小项mi变到mj时,若mi和mj分属于相邻,但又不相交的两个卡诺圈中,或者mi和mj虽然分属于两个彼此相交的卡诺圈中,但不处在相交的区域内,则该组合电路有可能存在竞争冒险现象。

3.5.3消除竞争冒险的方法1.消去互补变量比如图3-5-3(b),前面分析过存在竞争冒险现象。

如果将输出函数进行变换Y=(A+B)(A+C)=AC+AB+BC互补变量被消去,根据这个表达式实现的二级与或电路、或二级与非电路就不会产生竞争冒险现象。

2.增加校正项3.加选通脉冲由于竞争冒险仅发生在电路状态变化的瞬间过渡过程中,若在门电路的输入端加一个选通脉冲,如图3-5-6中的CP脉冲,可使组合电路的输出在输入信号发生变化的期间保持不变,只在选通脉冲作用期间,输出才发生变化,从而使电路的输出避开了毛刺,消除了竞争冒险现象。

图3-5-6加选通脉冲消除竞争冒险现象,

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 经管营销 > 经济市场

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2