EDA电子琴课程设计21.docx

上传人:b****4 文档编号:6010938 上传时间:2023-05-09 格式:DOCX 页数:22 大小:306.61KB
下载 相关 举报
EDA电子琴课程设计21.docx_第1页
第1页 / 共22页
EDA电子琴课程设计21.docx_第2页
第2页 / 共22页
EDA电子琴课程设计21.docx_第3页
第3页 / 共22页
EDA电子琴课程设计21.docx_第4页
第4页 / 共22页
EDA电子琴课程设计21.docx_第5页
第5页 / 共22页
EDA电子琴课程设计21.docx_第6页
第6页 / 共22页
EDA电子琴课程设计21.docx_第7页
第7页 / 共22页
EDA电子琴课程设计21.docx_第8页
第8页 / 共22页
EDA电子琴课程设计21.docx_第9页
第9页 / 共22页
EDA电子琴课程设计21.docx_第10页
第10页 / 共22页
EDA电子琴课程设计21.docx_第11页
第11页 / 共22页
EDA电子琴课程设计21.docx_第12页
第12页 / 共22页
EDA电子琴课程设计21.docx_第13页
第13页 / 共22页
EDA电子琴课程设计21.docx_第14页
第14页 / 共22页
EDA电子琴课程设计21.docx_第15页
第15页 / 共22页
EDA电子琴课程设计21.docx_第16页
第16页 / 共22页
EDA电子琴课程设计21.docx_第17页
第17页 / 共22页
EDA电子琴课程设计21.docx_第18页
第18页 / 共22页
EDA电子琴课程设计21.docx_第19页
第19页 / 共22页
EDA电子琴课程设计21.docx_第20页
第20页 / 共22页
亲,该文档总共22页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

EDA电子琴课程设计21.docx

《EDA电子琴课程设计21.docx》由会员分享,可在线阅读,更多相关《EDA电子琴课程设计21.docx(22页珍藏版)》请在冰点文库上搜索。

EDA电子琴课程设计21.docx

EDA电子琴课程设计21

《EDA技术实用教程》课程设计

课程设计报告

 

课题:

EDA电子琴

课程名称:

EDA技术实用教程

学院名称:

物理电气信息学院

班级:

2011级通信工程

(1)班

学生姓名:

安昱

学号:

12011243986

指导教师:

杨泽林

 

2013年12月26日

EDA电子琴

摘要:

本课程设计主要采用EDA技术设计一个简易的八音符电子琴,它可通过按键输入来控制音响从而演奏出已存入的乐曲。

在课程设计中,系统开发平台为WindowsXP,程序设计采用VHDL语言,程序运行平台为QuartusII。

然后编写程序实现电子琴的各项功能,使不同的音阶对应不同频率的正弦波,按下不同的键时发出对应频率的声音。

程序通过调试运行,时序仿真,电路功能验证,顺利地实现了设计目标。

关键词:

电子琴;EDA;VHDL;音阶;频率

1、引言

随着信息科学的进步,现代电子产品的性能越来越高,复杂度越来越大,更新步伐也越来越快,电子技术的发展进入了划时代的阶段。

其中电子技术的核心便是电子设计自动化EDA(ElectronicDesignAutomatic)技术。

EDA是指以计算机为工作平台,融合了应用电子技术、计算机技术、智能化技术的最新成果而开发出的电子CAD通用软件包,它根据硬件描述语言VHDL完成的设计文件,自动完成逻辑编译、化简、分割、综合、优化、布局布线及仿真,直至完成对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

本课程设计就是采用EDA技术描述语言VHDL编程实现简易电子琴的各项功能,是EDA技术应用于实际的一个很好的例子。

1.1课程设计目的

本课程设计主要是基于VHDL文本输入法设计乐曲演奏电路,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,通过按键输入来控制音响或者自动演奏已存入的歌曲。

系统由乐曲自动演奏模块、音调发生模块和数控分频模块三个部分组成。

系统实现是用硬件描述语言VHDL按模块化方式进行设计,然后进行编程、时序仿真、电路功能验证,奏出美妙的乐曲。

该设计最重要的一点就是通过按键控制不同的音调发生,每一个音调对应不同的频率,从而输出对应频率的声音。

1.2课程设计内容

(1)设计一个简易的八音符电子琴,它可通过按键输入来控制音响。

(2)系统演奏时可以选择是手动演奏(由键盘输入)还是自动演奏已存入的乐曲。

(3)能够自动演奏多首乐曲,且乐曲可重复演奏。

1.3课程设计原理

本课程设计目的在于灵活运用EDA技术编程实现一个简易电子琴的乐曲演奏,它要求在实验箱上构造一个电子琴电路,不同的音阶对应不同频率的正弦波。

按下每个代表不同音阶的按键时,能够发出对应频率的声音。

故系统可分为乐曲自动演奏模块(AUTO)、音调发生模块(TONE)和数控分频模块(FENPIN)三部分。

系统的整体组装设计原理图如1-1所示。

图1-1系统的整体组装设计原理图

由于设计分模块组成,每个单独的模块都是一个完整的源程序,分别实现不同性质的功能,但是每个模块又是紧密关联的,前一个模块的输出很可能是后一模块的输入。

如AUTO模块的音符信号输出就是TONE模块的音符信号输入。

另外,时钟脉冲信号在本课程设计中用的最多,用处也最大,一般情况下时钟信号处上升沿有效,判断和控制各个计数器计数多少。

2、EDA、VHDL简介

EDA技术是以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。

EDA可提供文本输入以及图形编辑的方法将设计者的意图用程序或者图形方式表达出来,而我们经常用到的VHDL语言便是用于编写源程序所需的最常见的硬件描述语言(VHDL)之一。

2.1EDA技术

EDA是电子设计自动化(ElectronicDesignAutomation)缩写,是90年代初从CAD、CAM、CAT和CAE的概念发展而来的。

EDA技术是电子设计的发展趋势,利用EDA工具可以代替设计者完成电子系统设计中的大部分工作。

EDA工具从数字系统设计的单一领域,发展到今天,应用范围已涉及模拟、微波等多个领域,可以实现各个领域电子系统设计的测试、设计方针和布局布线等。

现在对EDA的概念或范畴用得很宽,包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。

目前EDA主要辅助进行三个方面的设计工作:

IC设计、电子电路设计和PCB设计。

2.2VHDL语言

常用硬件描述语言有VHDL、Verilog和ABEL语言,而VHDL主要用于描述数字系统的结构、行为、功能和接口。

除了含有许多具有硬件特征的语句外,VHDL的结构特点是将设计实体的内部功能和算法完成部分。

相对于其他硬件语言,VHDL有许多优点。

比如VHDL的行为描述能力更强,而且具有丰富的仿真语句和库函数,随时可对系统进行仿真模拟,使设计者对整个工程的结构和功能可行性做出判断;另外,由于具有类属描述语句和子程序调用等功能,对于完成的设计,在不改变源程序的条件下,只需改变类属参量和函数,就能轻易地改变设计的规模和结构。

3、简易电子琴的设计过程

根据系统设计要求,系统该系统基于计算机中时钟分频器的原理,设计采用自顶向下的设计方法,通过按键输入来控制音响或者自动演奏已存入的歌曲。

它由乐曲自动演奏模块、音调发生模块和数控分频模块三部分组成。

3.1乐曲自动演奏模块

乐曲自动演奏模块的作用是产生8位发生控制输入信号。

当进行自动演奏时,由存储在此模块的8位二进制数作为发声控制输入,从而自动演奏乐曲。

该模块的VHDL源程序主要由3个工作进程组成,分别为PULSE0,MUSIC和COM1。

PULSE0的作用是根据键盘输入(自动演奏)的值(0或1)来判断计数器COUNT以及脉冲CLK2的输出值。

部分源程序如下:

PULSE0:

PROCESS(CLK,AUTO)--工作进程开始

VARIABLECOUNT:

INTEGERRANGE0TO8;--定义计数器变量,值从0到8

IFAUTO='1'THEN--键盘输入为1

COUNT:

=0;CLK2<='0';--计数器值指0,时钟信号为0

ELSIF(CLK'EVENTANDCLK='1')THEN--时钟输入信号为1

COUNT:

=COUNT+1;--计数器加1

当确定了时钟信号输出的值后,在第二个PROCESS中就可以由它控制8位发声控制输入信号了。

即CLK2的值为0时,COUNT0为1。

最后的COM1便是由前两个PROCESS所确定的COUNT0、AUTO和键盘输入信号值INDEX2将8位的二进制数转化为音符信号的输出,达到自动演奏的目的。

部分源程序如下:

IFAUTO='0'THEN

CASECOUNT0IS

WHEN0=>INDEX0<="00000100";--3

WHEN4=>INDEX0<="00010000";--5

该模块最主要的用途就是将输入二进制数转化为发声控制输入,是产生音符的重要步骤,AUTO模块的源程序符号编辑图如图3-1

图3-1AUTO模块的符号编辑图

3.2音调发生模块

音调发生模块的作用是产生音阶的分频预置值。

当8位发声控制输入信号中的某一位为高电平时,则对应某一音阶的数值将输出,该数值即为该音阶的分频预置值,分频预置值控制数控分频模块进行分频,由此得到每个音阶对应的频率。

该模块的唯一输入信号INDEX对应就是自动模块中最后的输出INDEX0,音符显示信号CODE,高低音显示信号HIGH和音符分频系数都是根据音符输入确定的。

比如我们自定义INDEX第8位为高电平时,它的分频系数则为773Hz,音符显示信号为1001111,即是773的二进制表示,此时高低音显示1表示高音。

部分源程序如下:

CASEINDEXIS

WHEN"00000010"=>TONE0<=912;CODE<="0010010";HIGH<='1';

--音符第7位为1,分频数912Hz,音符显示为0010010,属高音

WHEN"01000000"=>TONE0<=1372;CODE<="0001111";HIGH<='0';

WHENOTHERS=>TONE0<=2047;CODE<="0000001";HIGH<='0';

显然,该模块最主要的作用就是给音符输入预设频率值,因为,电子琴最终实现乐曲演奏就是输出不同频率的正弦波,此模块就是将二进制发声信号转化为对应的频率。

3.3数控分频模块

数控分频模块是对时基脉冲进行分频,得到与0、1、2、3、4、5、6、7八个音符相对应的频率。

FENPIN模块的源程序符号编辑图如图3-3。

该模块主要由4个工作进程组成。

首先,根据系统时钟信号的输入得到时基脉冲以及计数器的值,而时钟信号在AUTO模块中便已给出,两者之间的设置关系类似于AUTO模块中第一个工作进程的设置。

第二个PROCESS是此模块的核心,即由时基脉冲值转化为音符的频率。

部分源程序如下:

VARIABLECOUNT11:

INTEGERRANGE0TO2047;

--定义频率计数器,从0到2047Hz

IF(PRECLK'EVENTANDPRECLK='1')THEN--时基脉冲为1时

IFCOUNT11

COUNT11:

=COUNT11+1;FULLSPKS<='1';--计数加1,扬声器音频为1

ELSE

COUNT11:

=0;FULLSPKS<='0';

最后一个PROCESS则是用来设置扬声器输出信号的,扬声器信号由0和1控制,当且仅当前一个PROCESS中的FULLSPKS输出为1时,扬声器才有输出,再根据计数器取值来确定输出是1还是0。

部分源程序如下:

IF(FULLSPKS'EVENTANDFULLSPKS='1')THEN--扬声器音频信号为1

COUNT2:

=NOTCOUNT2;

IFCOUNT2='1'THEN

SPKS<='1';--扬声器输出为1

ELSESPK<=’0’;

3.4顶层设计

该DIANZIQIN模块是整个电子琴设计的核心,也是VHDL程序的主程序,前面3个源程序都是作为子程序分别实现电子琴的某一功能,而DIANZIQIN模块则通过调用子程序最终实现乐曲演奏的目的,奏出美妙的乐曲。

利用VHDL语言COMPONENT将三个模块组合起来,其中3个模块和DIANZIQIN模块的输入输出是一一对应的,比如AUTO对应HANDTOAUTO,TONE0对应TONE2,SPKS对应SPKOUT等,该模块的符号编辑图如图3-2。

图3-2DIANZIQIN模块的符号编辑图

该图描述的是DIANZIQIN模块输入输出的变量表示,整个系统的整体组装设计原理图就是这3幅编辑图按输入输出关系顺序连接而成的。

4、系统仿真

系统仿真是在实际系统上进行实验研究比较困难时适用的必不可少的工具,它是指通过系统模型实验去研究一个已经存在或正在设计的系统的过程,通俗地讲,就是进行模型实验。

因而,系统仿真的结果决定整个课程设计任务完成的到位程度。

4.1时序仿真

编译完成后,可以对所进行的设计进行仿真,下面简单介绍一下仿真的步骤。

(1)打开波形编辑器,在Node菜单中选择EnterNodesfromSNF项,列出所有的信号,选择所需要的信号,然后画出输入信号的波形,最后将该文件以.scf的扩展名

存盘。

(2)在QuartusⅡ菜单中打开仿真器窗口,单击Start按钮,当仿真器结束工作时,就可以看到仿真的结果。

本课程设计的仿真平台是QuartusⅡ,通过对VHDL源程序进行编译检错,然后创建波形文件(后缀名为.scf),加入输入输出变量,选择适用的芯片以及设定仿真结束时间(100us),设置好输入初值进行仿真,得到仿真波形图。

由于电子琴4个模块都是独立的程序,可分别对各个程序仿真,验证输入输出的正确性,但在最终能演奏出乐曲的则是顶层设计模块。

(1)乐曲自动演奏模块的仿真如图4-1所示。

图4-1乐曲自动演奏模块的仿真图

该图输入系统时钟信号CLK初值设为0,自动演奏AUTO设为1,键盘输入信号INDEX2为00,INDEX0为音符信号输出,是8位的二进制代码它根据COUNT0的值改变而改变。

(2)音调发生模块的仿真如图4-2所示。

图4-2音调发生模块的仿真图

该图输入音符信号INDEX初值为00,输出是音符显示信号CODE为01,高低音显示信号为0,音符的分频系数为11111111即2047Hz。

 

(3)数控分频模块的仿真如图4-3所示。

图4-3数控分频模块的仿真图

该图输入系统时钟信号CLK1初值为0(各输出值都是在时钟信号的下降沿有效),音符分频系数TONE1为00100000即1290Hz,驱动扬声器的音频信号SPKS输出为1。

(4)简易电子琴整个系统的仿真如图4-4所示。

图4=4系统的仿真图

该图输入系统时简易电子琴整个钟信号CLK32MHZ初值为0,自动演奏信号HANDTOAUTO初值为0,键盘输入信号INDEX1为00000000;输出音符信号CODE1则为0110000,高低音节信号HIGH1变为1,即高音,音频信号SPKOUT即输出0,输出为1时CODE1变为0110100,这时出现10ns的延时。

在仿真时由于系统各方面原因影响,出现延时属于正常现象。

 

4.2电路功能验证

在选择好芯片以及设置引脚值后,下载源程序(后缀名.sof)到此芯片上,确认编程器硬件是否已安装好。

按如下步骤打开编程器窗口:

在QuartusⅡ菜单中选择Programmer项,点击Configure项将配置数据下载到一个FLEX器件中。

再次对源程序进行编译导入程序然后运行,扬声器发出初设的音符3,5音即报警。

手按键盘或拨脉冲开关改变输入信号则扬声器发出对应的频率的声音,键盘(开关)是与芯片引脚对应相连的。

4.3问题分析

在整个课程设计中,不可避免遇到很多难于解决的问题,一来是对EDA技术的不太了解,初涉VHDL语言以致很多语法和语言基本结构、算法生疏,运用不灵活,在编写源程序上遇到很大难题,而且在编译运行程序时对出错的语句理解不到位,难于下手修改错误语句,这使得在设计程序时遇到很大的阻碍,此外,VHDL语言的数据类型很容易造成混淆,比如INSTD_LOGIC_VECTOR(7DOWNTO0)语句,它有时既可以认为是从7下降到0,也可认为是从0上升到7,当输入有多位时,这就容易造成在读懂仿真波形图时读位数倒置,使仿真现象与理论结果相出入。

在完成电路验证这一步时,对频率的选择也是一大难点,有的设计项目对频率的要求很高,需要大频率元件才能满足设计需求,若频率元件选择不恰当,将严重影响设计结果甚至没有结果输出。

对于设计电子琴时,由于要求输出不同频率的声音,频率变化范围大,故需要一个12MHz的元件,对于小于这一值的频率不足以满足电路需求,仿真时只有一堆杂音输出。

在对于设计时遇到的不同问题时,首先应该理解问题关键所在,因为用语言编写程序需要仔细认真的态度,一点点错误漏洞将导致整个源程序无法编译运行,阻碍下一步工作完成进度。

5、结束语

通过这次VHDL课程设计,不仅增强了我们的实践动手能力,也让我们对课堂上所学到的理论知识的理解加深了许多,这给我们提供了一个在学习生活中很难得的理论联系实际的机会。

能够借此机会了解到部分EDA技术的知识和学习运用其中一种硬件描述语言VHDL编程实现各种常用器件的功能,这是在哪堂讲课上都得不到的一笔财富。

另一方面我们也发现了在平时学习过程中难于发现的许多缺点跟不足。

比如实践机会过少,所学的理论知识不能灵活运用,在遇到实际的问题时无法正确处理;再者在课堂上获得的专业知识过于浅显,很多的有关基本操作原理、操作方法都理解不了;课外知识了解的也过少,导致在课程设计初期,面对完全陌生的设计课题无从下手,不知所措。

这就提醒我们在平时的学习生活中不能一味埋头于面前的课本知识,毕竟当今社会竞争越发激烈,而学校能教授的东西有限,要想在人才市场中脱颖而出就只能靠我们自己。

当然,在学习之余我们更应该积极参加各种有关专业知识的实践活动和比赛,巩固所学理论,多注意培养初步的实际工作能力和专业技术能力,这样在以后的工作岗位上不会显得那么仓促与生疏。

参考文献

[1]辛春艳.VHDL硬件描述语言.北京:

国防工业出版社,2002

[2]甘历.VHDL应用与开发实践.北京:

科学出版社,2003

[3]VHDLLanguageReferenceGuide,AldeInc.HendersonNVUSA,1999

[4]齐洪喜,陆颖.VHDL电路设计实用教程.北京:

清华大学出版社,2004

[5]潘松,黄继业.EDA技术实用教程.北京:

科学出版社,2002

 

附录1:

乐曲自动演奏源程序清单

--程序名称:

AUTO.VHD

--程序功能:

采用VHDL语言编程产生8位发声控制输入信号。

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

USEIEEE.STD_LOGIC_ARITH.ALL;

USEIEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITYAUTOIS

PORT(CLK:

INSTD_LOGIC;--系统时钟信号

AUTO:

INSTD_LOGIC;--键盘输入/自动演奏

CLK2:

BUFFERSTD_LOGIC;--时钟输出

INDEX2:

INSTD_LOGIC_VECTOR(7DOWNTO0);--键盘输入信号

INDEX0:

OUTSTD_LOGIC_VECTOR(7DOWNTO0));--音符信号输出

ENDAUTO;

ARCHITECTUREBEHAVIORALOFAUTOIS

SIGNALCOUNT0:

INTEGERRANGE0TO31;--定义信号计数器,有32个信号元素

BEGIN

PULSE0:

PROCESS(CLK,AUTO)--PULSE0工作进程开始

VARIABLECOUNT:

INTEGERRANGE0TO8;--定义变量计数器,从0到8

BEGIN

IFAUTO='1'THEN--键盘输入为1

COUNT:

=0;CLK2<='0';--计数器值为0,时钟信号2幅值为0

ELSIF(CLK'EVENTANDCLK='1')THEN--输入的时钟信号为其他值

COUNT:

=COUNT+1;--计数器加1即为1

IFCOUNT=4THEN

CLK2<='1';

ELSIFCOUNT=8THEN

CLK2<='0';COUNT:

=0;

ENDIF;

ENDIF;

ENDPROCESS;

MUSIC:

PROCESS(CLK2)--MUSIC工作进程开始

BEGIN

IF(CLK2'EVENTANDCLK2='1')THEN--时钟信号2为1

IF(COUNT0=31)THEN--计数器值为31

COUNT0<=0;--计数器清0

ELSE

COUNT0<=COUNT0+1;

ENDIF;

ENDIF;

ENDPROCESS;

COM1:

PROCESS(COUNT0,AUTO,INDEX2)

BEGIN

IFAUTO='0'THEN--键盘输入为0

CASECOUNT0IS--由计数器从0到31的取值判断音符信号的8位二进制数

WHEN0=>INDEX0<="00000100";--3

WHEN1=>INDEX0<="00000100";--3

WHEN2=>INDEX0<="00000100";--3

WHEN3=>INDEX0<="00000100";--3

WHEN4=>INDEX0<="00010000";--5

WHEN5=>INDEX0<="00010000";--5

WHEN6=>INDEX0<="00010000";--5

WHEN7=>INDEX0<="00100000";--6

WHEN8=>INDEX0<="10000000";--8

WHEN9=>INDEX0<="10000000";--8

WHEN10=>INDEX0<="10000000";--8

WHEN11=>INDEX0<="00000100";--3

WHEN12=>INDEX0<="00000010";--2

WHEN13=>INDEX0<="00000010";--2

WHEN14=>INDEX0<="00000001";--1

WHEN15=>INDEX0<="00000001";--1

WHEN16=>INDEX0<="00010000";--5

WHEN17=>INDEX0<="00010000";--5

WHEN18=>INDEX0<="00001000";--4

WHEN19=>INDEX0<="00001000";--4

WHEN20=>INDEX0<="00001000";--4

WHEN21=>INDEX0<="00000100";--3

WHEN22=>INDEX0<="00000010";--2

WHEN23=>INDEX0<="00000010";--2

WHEN24=>INDEX0<="00010000";--5

WHEN25=>INDEX0<="00010000";--5

WHEN26=>INDEX0<="00001000";--4

WHEN27=>INDEX0<="00001000";--4

WHEN28=>INDEX0<="00000100";--3

WHEN29=>INDEX0<="00000100";--3

WHEN30=>INDEX0<="00000010";--2

WHEN31=>INDEX0<="00000010";--2

WHENOTHERS=>NULL;

ENDCASE;

ELSEINDEX0<=INDEX2;--将音符信号0的值赋给音符信号2

ENDIF;

ENDPROCESS;

ENDBEHAVIORAL;

 

附录2:

音调发生源程序清单

--程序名称:

TONE.VHD

--程序功能:

采用VHDL语言编程产生音阶的分频预置值。

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

USEIEEE.STD_LOGIC_ARITH.ALL;

USEIEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITYTONEIS

PORT(INDEX:

INSTD_LOGIC_VECTOR(7DOWNTO0);--音符输入信号

CODE:

OUTSTD_LOGIC_VECTOR(6DOWNTO0);--音符显示信号

HIGH:

OUTSTD_LOGIC;--高低音显示信号

TONE0:

OUTINTEGERRANGE0TO

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 工程科技 > 能源化工

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2