基于FPGA误码检测器的设计与实现讲解.docx

上传人:b****1 文档编号:664914 上传时间:2023-04-29 格式:DOCX 页数:29 大小:1.07MB
下载 相关 举报
基于FPGA误码检测器的设计与实现讲解.docx_第1页
第1页 / 共29页
基于FPGA误码检测器的设计与实现讲解.docx_第2页
第2页 / 共29页
基于FPGA误码检测器的设计与实现讲解.docx_第3页
第3页 / 共29页
基于FPGA误码检测器的设计与实现讲解.docx_第4页
第4页 / 共29页
基于FPGA误码检测器的设计与实现讲解.docx_第5页
第5页 / 共29页
基于FPGA误码检测器的设计与实现讲解.docx_第6页
第6页 / 共29页
基于FPGA误码检测器的设计与实现讲解.docx_第7页
第7页 / 共29页
基于FPGA误码检测器的设计与实现讲解.docx_第8页
第8页 / 共29页
基于FPGA误码检测器的设计与实现讲解.docx_第9页
第9页 / 共29页
基于FPGA误码检测器的设计与实现讲解.docx_第10页
第10页 / 共29页
基于FPGA误码检测器的设计与实现讲解.docx_第11页
第11页 / 共29页
基于FPGA误码检测器的设计与实现讲解.docx_第12页
第12页 / 共29页
基于FPGA误码检测器的设计与实现讲解.docx_第13页
第13页 / 共29页
基于FPGA误码检测器的设计与实现讲解.docx_第14页
第14页 / 共29页
基于FPGA误码检测器的设计与实现讲解.docx_第15页
第15页 / 共29页
基于FPGA误码检测器的设计与实现讲解.docx_第16页
第16页 / 共29页
基于FPGA误码检测器的设计与实现讲解.docx_第17页
第17页 / 共29页
基于FPGA误码检测器的设计与实现讲解.docx_第18页
第18页 / 共29页
基于FPGA误码检测器的设计与实现讲解.docx_第19页
第19页 / 共29页
基于FPGA误码检测器的设计与实现讲解.docx_第20页
第20页 / 共29页
亲,该文档总共29页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

基于FPGA误码检测器的设计与实现讲解.docx

《基于FPGA误码检测器的设计与实现讲解.docx》由会员分享,可在线阅读,更多相关《基于FPGA误码检测器的设计与实现讲解.docx(29页珍藏版)》请在冰点文库上搜索。

基于FPGA误码检测器的设计与实现讲解.docx

基于FPGA误码检测器的设计与实现讲解

基于FPGA误码检测器的设计与实现

 

西安欧亚学院

本科毕业论文(设计)开题报告

 

题目

基于FPGA误码检测器的设计与实现

学生姓名:

*****

学生学号:

12610602150807

指导教师:

导师职称:

所在分院:

信息工程学院

专业:

通信工程

班级:

统本通信1201班

提交日期:

2015年12月21日

 

一、课题的意义

在当今的信息时代,通信在我们生活中必不可少。

数字通信技术更是以抗干扰能力强、适合远距离传输、方便于计算机连接、容易加密等优点,在现代社会的信息传输领域变得越来越重要。

通信网络给我们带来了种种便利,因特网和电话网等通信网络为我们的生活增添了非常多的便利,人们也越来越离不开通信网络了。

在通信系统中,机器故障、信号衰落、干扰等多种原因都可以导致接收端接收到误码,甚至可能造成系统性能恶化,乃至通信中断,其结果都可通过误码的形式表现出来,在误码中加入时间的概念就有了误码率,误码率是衡量数据在规定时间内数据传输精确性的指标,是检验设备传输性能的重要指标。

因此,各种各样针对不同通信系统的误码检测设备应运而生。

误码检测器主要基于FPGA技术,并且以方便,实用,经济三个方面为特点进行设计开发的。

它的核心器件是现场可编程逻辑阵列,便于移植或者升级。

FPGA是目前应用比较广泛的可编程门阵列(FPGA),如今很多数字通信系统都是用FPGA作为系统的核心控制器件,不仅使系统的集成度大大提高而且降低了硬件设计的复杂程度。

所以,采用FPGA作为误码检测器的核心控制器件是比较合适的选择。

二、国内外研究现状

早期的误码率检测器一般采用分立元件设计,这种方式在设计上相对复杂;有的采用MCS-51系列单片机与误码测试专用芯片相结合的方法,测试专用芯片有DS2172、DS21554等,这种方式缩短了误码率测试仪开发周期,设计成本较低,但是测试速率较低。

随着FPGA的迅速发展,采用FPGA与单片机相结合的误码率检测器也应运而生,采用FPGA完成误码测试的各个部分,采用单片机实现系统的控制。

虽然这种方式的误码率检测器较多,但是受到FPGA本身的速率限制,大多数检测器的速率都较低,一般在2Mb/s、24Mb/s以及300Mb/s左右,个别设计达到2488Mb/s。

误码率检测器国外的产品较多,安捷伦公司和泰克公司的检测器都是比较高端的,功能也非常完善。

例如安捷伦公司的81250并行误码率检测器和串行误码率检测器N4906、N4903A速率可以达到12.5Gb/s,E4898ABERT等多款检测器速率达到100Gb/s[20]。

泰克公司的BERTScopeCR系列检测器数据速率可以达到28.6Gb/s。

国内的设备比较典型的是中国电子科技集团研制的AV系列的误码检测器,例如AV5232e、AV5231和AV5235等。

随着数据传输方式的改变,误码率检测器都在朝着串行方向发展。

国外高速误码器的功能相对国内比较完善,国外检测器的发送端的码型相对较多,测试速率可选,而且具有很好的人机交互界面,有很好的性能指标,主要适用于大中型企业以及对于技术指标要求相对较高的场合测试,因其价格较高、而且操作也比较复杂、维修困难,一般不适用于小型企业以及教学实验。

国内的产品操作相对简单,但是处理信号的速率一般在几Mb/s或者百Mb/s,速率相对较低,达到千兆速率的误码率检测器非常少,而且其发送码型单一。

目前,光通信接入网技术在不断提高,传输速率也在不断提高,光传输模块应用也越来越多,如1.25Gb/s、2.5Gb/s和3.125Gb/s光模块,对通信设备性能的要求也越来越高,通信系统可靠性的检测也显得尤为重要。

三、毕业论文(设计)的主要内容

本文主要阐述的是基于FPGA误码检测器的设计与实现。

第一部分是绪论,包括课题的研究目的及意义、误码率测试仪的国内外发展现状、本课题的主要研究内容;第二部分是总体方案设计,包括误码率测试基本原理、FPGA芯片选择;第三部分是基本功能设计,包括码型发生单元设计、误码检测单元设计;第四部分是仿真验证。

第五部分是结论。

四、所采用的方法、手段以及步骤等

1、主要方法

采用文献研究法、文本细读法和案例分析法进行研究。

2、步骤

(1)阅读相关资料,补充理论知识的不足。

(2)了解本论题的研究状况,形成文献综述和开题报告。

(3)通过文献研究法全面的掌握误码检测器的发展及分析。

(4)进一步搜集阅读资料并研读文本,做好相关的记录,形成论题提纲。

(5)再用案例分析法,通过一些案例的分析与反思关于误码检测器的设计与实现的问题。

(6)深入研究,写成初稿。

最后,反复修改,完成定稿。

五、阶段进度计划

1、2015年11月20日-2015年12月20日:

查阅资料,完成开题报告。

2、2015年12月21日-2016年1月30日:

阅读相关资料,确定系统的具体设计思路及框架。

3、2015年2月1日-2016年3月1日:

着手论文初稿的的撰写。

4、2016年3月2日-2016年4月15日:

进行工程测试,完成测试报告。

5、2016年4月16日-2016年4月25日:

根据老师的建议,进一步完善论文的结构和内容。

6、2016年4月26日-2016年5月15日:

完成毕业论文及PPT,进行毕业答辩。

六、参考文献

[1]赵慧玲,电信网络技术的发展趋势[J],电信建设,2012,2,36-45

[2]李宏,齐林,杨亮,一种便携式误码测试仪的设计[J],现代电子技术,2010,12,122-124

[3]马万治,唐友喜,赵贤,邵士海,瑞丽信道中无线通信设备误码率测试仪实现[J],电子测量与仪器学报,2010,4,414-419

[4]沈瀚涛,孙学聪,朱蕊蘋,一种串行通信的误码率测试方法[J],现代方与技术,2013,3,110-114

[5]李佳,陈顺方,丁勇飞,刘国梁.基于FPGA的数据链路误码仪设计[J]航空电子技术,2013,10,8-11

[6]陈寅芳,朱勇,曹彦武,基于FPGA的突发误码测试仪的设计[J],光通信技术,2010,7,45-48

[7]阳子轩,吴友宇,秦神祖,一种误码仪控制方案的实现[J],武汉理工大学学报,2013,11,4-7

指导教师意见:

□同意开题。

□不同意开题,原因是。

指导教师签字:

年月日

教研室意见:

□同意开题。

□不同意开题,原因是。

主任签字:

年月日

备注:

“指导教师意见”和“教研室意见”请在“□”内打“√”表示。

摘要

随着通信测试技术的发展,对测试仪器也提出了更高的要求。

要求测试仪器软件化、智能化。

而且由于通信技术的迅速发展,通信测试仪器的价格比较昂贵,所以要求仪器开发商要考虑到测试仪器的功能问题及仪器的成本问题。

另外,小型化和便携化的思想是通信测试仪器的两个重要发展趋势和方向。

鉴于网络通信监测具有移动性,要对同一通信网络不同测试点进行监测,对于测试点的物理距离比较远的通信网络,要求通信网络测试设备向小型化,便携化的两个方向发展。

手持式网络测试设备主要以现场施工以及运行维护使用为目的,不要求其测试功能的完善,但侧重于实用性和方便性。

误码测试仪主要基于FPGA技术,并且以方便,实用,经济三个方面为主要特点进行设计开发的。

它的核心器件是现场可编程逻辑阵列(FPGA),便于移植或者升级。

FPGA是目前应用比较广泛的可编程门阵列,如今很多数字通信系统都是用FPGA作为系统的核心控制器件,不仅使系统的集成度大大提高而且降低了硬件设计的复杂程度。

所以,采用FPGA作为智能误码仪的核心控制器件是比较合适的选择。

本论文在分析了误码仪工作原理的基础上,釆用FPGA等构建硬件平台,完成误码仪的功能。

用FPGA实现伪随机序列的收发和误码统计,然后通过数码管显示检测结果。

关键词:

误码检测仪FPGA伪随机码同步

 

Abstract

Withtherapiddevelopmentofcommunicationtechnologytest,alsoputforwardhigherrequirementsforthetestinstrument.Requirementofintelligentinstrumentsoftware.Andbecauseoftherapiddevelopmentofcommunicationtechnology,communicationtestinstrumentsareexpensive,thecostfunctionandinstrumentsoinstrumentdeveloperstoconsidertotestinstruments.Inaddition,miniaturizationandportabilityofthethoughtisthetwoimportantdevelopmenttrendanddirectionofcommunicationtestinginstrument.Inviewofthenetworktrafficmonitoringwithmobility,tomonitorthesamecommunicationnetworksofdifferenttestpoints,forthephysicaldistancebetweenthetestpointisfarfromthecommunicationnetwork,communicationnetworktestingequipmenttotheminiaturization,portabletwodirection.Theportablenetworktestequipmenttothemainmaintenanceon-siteconstructionandoperationforthepurpose,doesnotrequirethetestfunctionperfect,butfocusesonthepracticalityandconvenience.IntelligenterrortesterismainlybasedonFPGAtechnology,andwithconvenient,practical,thethreeaspectsofeconomyasthemaincharacteristicsofthedesignanddevelopmentofthe.Itisthecorecomponentoffieldprogrammablelogicarray(FPGA),easytotransplantorupgrade.FPGAiswidelyusedprogrammablegatearray,nowalotofdigitalcommunicationsystemisusingFPGAasthecoreofthesystemcontroldevice,notonlythesystemintegrationdegreegreatlyimproveandreducethecomplexityofthehardwaredesign.So,usingFPGAasthecorecontroldeviceofintelligenterrortesterisasuitablechoice.

Inthispaper,basedontheanalysisoftheprincipleoftheerrorcode,usingFPGA,processorandotherhardwareplatformtocompletethefunctionoftheerrortester.FPGAimplementationofthepseudorandomsequenceoftransceiveranderrorstatistics,andthenthroughthedigitaltubedisplaydetectionresults.

KeyWords:

ErrordetectinginstrumentFPGAPseudorandomcodeSynchronization

1.绪论

1.1研究目的及意义

在当今的信息时代,通信在我们生活中必不可少。

数字通信技术更是以抗干扰能力强、适合远距离传输、方便于计算机连接、容易加密等优点,在现代社会的信息传输领域变得越来越重要。

通信网络给我们带来了种种便利,因特网和电话网等通信网络为我们的生活增添了非常多的便利,人们也越来越离不开通信网络了。

在通信系统中,机器故障、信号衰落、干扰等多种原因都可以导致接收端接收到误码,甚至可能造成系统性能恶化,乃至通信中断,其结果都可通过误码的形式表现出来,在误码中加入时间的概念就有了误码率,误码率是衡量数据在规定时间内数据传输精确性的指标,是检验设备传输性能的重要指标。

因此,各种各样针对不同通信系统的误码检测设备应运而生。

误码检测器主要基于FPGA技术,并且以方便,实用,经济三个方面为特点进行设计开发的。

它的核心器件是现场可编程逻辑阵列,便于移植或者升级。

FPGA是目前应用比较广泛的可编程门阵列(FPGA),如今很多数字通信系统都是用FPGA作为系统的核心控制器件,不仅使系统的集成度大大提高而且降低了硬件设计的复杂程度。

所以,采用FPGA作为误码检测器的核心控制器件是比较合适的选择。

1.2国内外研究现状

早期的误码率检测器一般采用分立元件设计,这种方式在设计上相对复杂;有的采用MCS-51系列单片机与误码测试专用芯片相结合的方法,测试专用芯片有DS2172、DS21554等,这种方式缩短了误码率测试仪开发周期,设计成本较低,但是测试速率较低。

随着FPGA的迅速发展,采用FPGA与单片机相结合的误码率检测器也应运而生,采用FPGA完成误码测试的各个部分,采用单片机实现系统的控制。

虽然这种方式的误码率检测器较多,但是受到FPGA本身的速率限制,大多数检测器的速率都较低,一般在2Mb/s、24Mb/s以及300Mb/s左右,个别设计达到2488Mb/s。

误码率检测器国外的产品较多,安捷伦公司和泰克公司的检测器都是比较高端的,功能也非常完善。

例如安捷伦公司的81250并行误码率检测器和串行误码率检测器N4906、N4903A速率可以达到12.5Gb/s,E4898ABERT等多款检测器速率达到100Gb/s[20]。

泰克公司的BERTScopeCR系列检测器数据速率可以达到28.6Gb/s。

国内的设备比较典型的是中国电子科技集团研制的AV系列的误码检测器,例如AV5232e、AV5231和AV5235等。

随着数据传输方式的改变,误码率检测器都在朝着串行方向发展。

国外高速误码器的功能相对国内比较完善,国外检测器的发送端的码型相对较多,测试速率可选,而且具有很好的人机交互界面,有很好的性能指标,主要适用于大中型企业以及对于技术指标要求相对较高的场合测试,因其价格较高、而且操作也比较复杂、维修困难,一般不适用于小型企业以及教学实验。

国内的产品操作相对简单,但是处理信号的速率一般在几Mb/s或者百Mb/s,速率相对较低,达到千兆速率的误码率检测器非常少,而且其发送码型单一。

目前,光通信接入网技术在不断提高,传输速率也在不断提高,光传输模块应用也越来越多,如1.25Gb/s、2.5Gb/s和3.125Gb/s光模块,对通信设备性能的要求也越来越高,通信系统可靠性的检测也显得尤为重要。

1.3本课题主要要求内容

本文主要研究内容为设计一款误码检测仪,通过QuartusII软件开发平台,编写实现误码检测功能的VHDL代码,然后再该开发平台上进行仿真,调试代码,等代码功能满足要求时配置到FPGA开发板中,在实际的硬件平台进行测试该测试仪的功能和性能。

本设计论文安排如下:

第一章讲述误码测试仪在国内外的发展现状,和对本课题开展研究的意义。

第二章讲述误码测试仪在设计过程中将要涉及到的理论和原理,如何进行误码率的检测,以及器件的选型等。

第三章进行该设计的功能设计,包括软件的编写和功能模块的划分,以及功能框架的搭建和分析。

第四章将会对各个功能模块进行仿真和测试功能实现是否正确,然后进行整体的功能仿真,最后将代码配置到FPGA开发板中进行功能验证。

第五章对全文的总结和展望。

2.系统的总体方案设计

2.1EDA与VHDL介绍

FPGA(Field-ProgrammableGateArray现场可编程门阵列)是近年来广泛应用的超大规模、超高速的可编程逻辑器件,由于其具有高集成度(单片集成的系统门数达上千万门)、高速(200MHz以上)、在线系统可编程等优点,为数字系统的设计带来了突破性变革,大大推动了数字系统设计的单片化、自动化,提高了单片数字系统的设计周期、设计灵活性和可靠性。

在超高速信号处理和实时测控方面有非常广泛的应用。

硬件描述语言HDL是一种用形式化方法描述数字电路和系统的语言。

VHDL是硬件描述语言的几种代表性语言的一种。

VHDL(VeryHighSpeedIntegratedCircuitHardwareDescriptionLanguage即超高速集成电路硬件描述语言)主要用于描述数字系统的结构、行为、功能和接口,与其它的硬件描述语言相比,VHDL具有更强的行为描述能力,从而决定了它成为系统设计领域最佳的硬件描述语言。

用VHDL设计的程序,通过综合工具产生网表文件,下载到目标器件,从而生成硬件电路。

VHDL还是一种仿真语言,包括行为仿真、功能仿真和时序仿真,给系统设计各个阶段的可行性做出了决策。

2.1.1FPGA发展历程

当今社会是数字化的社会,是数字集成电路广泛应用的社会。

数字集成电路本身在不断地进行更新换代。

它由早期的电子管、晶体管、小中规模集成电路、发展到超大规模集成电路(VLSIC,几万门以上)以及许多具有特定功能的专用集成电路。

但是,随着微电子技术的发展,设计与制造集成电路的任务已不完全由半导体厂商来独立承担。

系统设计师们更愿意自己设计专用集成电路(ASIC)芯片,而且希望ASIC的设计周期尽可能短,最好是在实验室里就能设计出合适的ASIC芯片,并且立即投入实际应用之中,因而出现了现场可编程逻辑器件(FPLD),其中应用最广泛的当属现场可编程门阵列(FPGA)和复杂可编程逻辑器件(CPLD)。

早期的可编程逻辑器件只有可编程只读存储器(PROM)、紫外线可擦除只读存储器(EPROM)和电可擦除只读存储器(EEPROM)三种。

由于结构的限制,它们只能完成简单的数字逻辑功能。

其后,出现了一类结构上较复杂的可编程芯片,即可编程逻辑器件(PLD),它能够完成各种数字逻辑功能。

典型的PLD由一个“与”门和一个“或”门阵列组成,而任意一个组合逻辑都可以用“与一或”表达式来描述,所以,PLD能以乘积和的形式完成大量的组合逻辑功能。

这一阶段的产品主要有PAL(可编程阵列逻辑)和GAL(通用阵列逻辑)。

PAL由一个可编程的“与”平面和一个固定的“或”平面构成,或门的输出可以通过触发器有选择地被置为寄存状态。

PAL器件是现场可编程的,它的实现工艺有反熔丝技术、EPROM技术和EEPROM技术。

还有一类结构更为灵活的逻辑器件是可编程逻辑阵列(PLA),它也由一个“与”平面和一个“或”平面构成,但是这两个平面的连接关系是可编程的。

PLA器件既有现场可编程的,也有掩膜可编程的。

在PAL的基础上,又发展了一种通用阵列逻辑GAL(GenericArrayLogic),如GAL16V8,GAL22V10等。

它采用了EEPROM工艺,实现了电可擦除、电可改写,其输出结构是可编程的逻辑宏单元,因而它的设计具有很强的灵活性,至今仍有许多人使用。

这些早期的PLD器件的一个共同特点是可以实现速度特性较好的逻辑功能,但其过于简单的结构也使它们只能实现规模较小的电路。

为了弥补这一缺陷,20世纪80年代中期。

Altera和Xilinx分别推出了类似于PAL结构的扩展型CPLD(ComplexProgrammab1eLogicDevice)和与标准门阵列类似的FPGA(FieldProgrammableGateArray),它们都具有体系结构和逻辑单元灵活、集成度高以及适用范围广等特点。

这两种器件兼容了PLD和通用门阵列的优点,可实现较大规模的电路,编程也很灵活。

与门阵列等其它ASIC(ApplicationSpecificIC)相比,它们又具有设计开发周期短、设计制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点,因此被广泛应用于产品的原型设计和产品生产(一般在10,000件以下)之中。

几乎所有应用门阵列、PLD和中小规模通用数字集成电路的场合均可应用FPGA和CPLD器件。

FPGA(现场可编程门阵列)与CPLD(复杂可编程逻辑器件)都是可编程逻辑器件,它们是在PAL,GAL等逻辑器件的基础之上发展起来的。

同以往的PAL,GAL等相比较,FPGA的规模比较大,它可以替代几十甚至几千块通用IC芯片。

这样的FPGA实际上就是一个子系统部件。

这种芯片受到世界范围内电子工程设计人员的广泛关注和普遍欢迎。

尽管FPGA和其它类型PLD的结构各有其特点和长处,但概括起来,它们是由三大部分组成的:

一个二维的逻辑块阵列,构成了PLD器件的逻辑组成核心;

输入/输出块;

连线资源:

由各种长度的连线线段组成,其中也有一些可编程的连接开关,它们用于逻辑块之间、逻辑块与输入/输出块之间的连接。

FPGA芯片都是特殊的ASIC芯片,它们除了具有ASIC的特点之外,还具有以下几个优点:

(1)随着VLSI(VeryLargeScaleIC,超大规模集成电路)工艺的不断提高单一芯片内部可以容纳上百万个晶体管,FPGA芯片的规模也越来越大,其单片逻辑门数已达到上百万门,它所能实现的功能也越来越强,同时也可以实现系统集成。

(2)FPGA芯片在出厂之前都做过百分之百的测试,不需要设计人员承担投资的风险和费用,设计人员只需在自己的实验室里就可以通过相关的软硬件环境来完成芯片的最终功能设计。

所以,FPGA的资金投入小,节省了许多潜在的花费。

(3)用户可以反复地编程、擦除、使用或者在外围电路不动的情况下用不同软件就可实现不同的功能。

所以,用FPGA试制样片,能以最快的速度占领市场。

FPGA软件包中有各种输入工具和仿真工具,及版图设计工具和编程器等全线产品,电路设计人员在很短的时间内就可完成电路的输入、编译、优化、仿真,直至最后芯片的制作。

当电路有少量改动时,更能显示出FPGA的优势。

电路设计人员使用FPGA进行电路设计时,不需要具备专门的IC(集成电路)深层次的知识,FPGA软件易学易用,可以使设计人员更能集中精力进行电路设计,快速将产品推向市场。

2.1.2VHDL语言介绍

VHDL主要用于描述数字系统的结构,行为,功能和接口。

除了含有许多具有硬件特征的语句外,

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 总结汇报 > 学习总结

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2