基于FPGA的函数信号发生器设计(校优毕业论文Word版)Word文档格式.doc

上传人:wj 文档编号:6972803 上传时间:2023-05-07 格式:DOC 页数:45 大小:14.59MB
下载 相关 举报
基于FPGA的函数信号发生器设计(校优毕业论文Word版)Word文档格式.doc_第1页
第1页 / 共45页
基于FPGA的函数信号发生器设计(校优毕业论文Word版)Word文档格式.doc_第2页
第2页 / 共45页
基于FPGA的函数信号发生器设计(校优毕业论文Word版)Word文档格式.doc_第3页
第3页 / 共45页
基于FPGA的函数信号发生器设计(校优毕业论文Word版)Word文档格式.doc_第4页
第4页 / 共45页
基于FPGA的函数信号发生器设计(校优毕业论文Word版)Word文档格式.doc_第5页
第5页 / 共45页
基于FPGA的函数信号发生器设计(校优毕业论文Word版)Word文档格式.doc_第6页
第6页 / 共45页
基于FPGA的函数信号发生器设计(校优毕业论文Word版)Word文档格式.doc_第7页
第7页 / 共45页
基于FPGA的函数信号发生器设计(校优毕业论文Word版)Word文档格式.doc_第8页
第8页 / 共45页
基于FPGA的函数信号发生器设计(校优毕业论文Word版)Word文档格式.doc_第9页
第9页 / 共45页
基于FPGA的函数信号发生器设计(校优毕业论文Word版)Word文档格式.doc_第10页
第10页 / 共45页
基于FPGA的函数信号发生器设计(校优毕业论文Word版)Word文档格式.doc_第11页
第11页 / 共45页
基于FPGA的函数信号发生器设计(校优毕业论文Word版)Word文档格式.doc_第12页
第12页 / 共45页
基于FPGA的函数信号发生器设计(校优毕业论文Word版)Word文档格式.doc_第13页
第13页 / 共45页
基于FPGA的函数信号发生器设计(校优毕业论文Word版)Word文档格式.doc_第14页
第14页 / 共45页
基于FPGA的函数信号发生器设计(校优毕业论文Word版)Word文档格式.doc_第15页
第15页 / 共45页
基于FPGA的函数信号发生器设计(校优毕业论文Word版)Word文档格式.doc_第16页
第16页 / 共45页
基于FPGA的函数信号发生器设计(校优毕业论文Word版)Word文档格式.doc_第17页
第17页 / 共45页
基于FPGA的函数信号发生器设计(校优毕业论文Word版)Word文档格式.doc_第18页
第18页 / 共45页
基于FPGA的函数信号发生器设计(校优毕业论文Word版)Word文档格式.doc_第19页
第19页 / 共45页
基于FPGA的函数信号发生器设计(校优毕业论文Word版)Word文档格式.doc_第20页
第20页 / 共45页
亲,该文档总共45页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

基于FPGA的函数信号发生器设计(校优毕业论文Word版)Word文档格式.doc

《基于FPGA的函数信号发生器设计(校优毕业论文Word版)Word文档格式.doc》由会员分享,可在线阅读,更多相关《基于FPGA的函数信号发生器设计(校优毕业论文Word版)Word文档格式.doc(45页珍藏版)》请在冰点文库上搜索。

基于FPGA的函数信号发生器设计(校优毕业论文Word版)Word文档格式.doc

二〇一三年六月十八日

38

摘要

函数信号发生器在教学、通信、测量等领域是非常重要的的工具。

制作噪声低、频率变换快和分辨率高的信号发生器已引起了现科学界越来越浓厚的兴趣。

由于直接数字频率合成技术(DDS)的各种优点,因此带来了电子科学界频率合成的革命。

本设计主要讨论了如何利用FPGA来实现一个DDS系统,该系统以FPGA为核心的硬件结构来实现,使用Altera公司的CycloneII系列开发板。

通过对时钟信号进行分频和相位累加,对输出的波形进行调用LPM-ROM存储,再经高速D/A转换,低通滤波器后输出函数信号。

通过对QuartusII开发工具的VHDL的编写,电路的连接,时序仿真进行了研究。

而且利用ModelSim进行更精确地仿真。

仿真通过后,形成顶层文件得以完成对系统的全面布局,最后将软件硬件互相结合,下载到开发板FPGA芯片上进行硬件调试。

利用变化的八位控制字实现了正弦波、三角波、方波在1Hz-10MHz的可调输出,利用除法器控制实现波形在0-5V的幅度调节。

关键词:

现场可编程门阵列;

直接数字频率合成技术;

VHDL语言;

数模转换

FPGA-basedFunctionSignalGeneratorDesign

Abstract

Functiongeneratorisaveryindispensabletoolincommunications,measurement,teachingandotherfields.Soproductionfrequencyswitching,highresolutionandlownoisesignalgeneratorfunctionhascausedgrowinginterestinthescientificcommunity.BecauseoftheDirectDigitalSynthesis(DDS)technologyhasmanyadvantages,thescientificcommunityhasbroughtarevolutionfrequencysynthesizer.

ThisdesignfocusonhowtousetheFPGAtoimplementaDDSsystem,whichisthecoreoftheFPGAhardwarearchitecturetoachieve,usingAltera'

sCycloneIIdevelopmentboardseries.Throughtheclocksignalfrequencyandthephaseaccumulator,theoutputwaveformisstored,andthentheD/Aconverter,theoutputlow-passfilterfunctionsignal.ThroughtheQuartusIIdevelopmenttoolstowriteVHDL,circuitconnection,timingsimulationwerestudied.AndtheuseofmoreaccuratesimulationwithModelSim.Throughsimulation,theformationofthetop-levelfilesystemtocompletetheoveralllayout,andfinallythecombinedhardwareandsoftware,downloadedtotheFPGAchipdevelopmentboardforhardwaredebugging.Usechangesineightcontrolwordstoachieveasinewave,trianglewave,squarewaveat1Hz-10MHzadjustableoutput,thewaveformusingthedividercontrolthemagnitudeofthe0-5Vregulator.

Keywords:

Field-ProgrammableGateArray;

DirectDigitalSynthesizer;

Very-High-SpeedIntegratedCircuitHardwareDescriptionLanguage;

Digitaltoanalogconverter

目录

引言 1

第一章DDS技术与原理 2

1.1DDS相关技术 2

1.2DDS的基本原理 2

第二章FPGA及编程环境 5

2.1FPGA的基本原理 5

2.2编程软件—QuartusII 6

第三章系统方案论证 7

3.1系统总体方案设计 7

3.2系统方案论证与选择 8

3.2.1总体方案论证与比较 8

3.2.2DDS模块方案论证 8

3.2.3数据存储方案论证 9

3.2.4键盘/显示方案论证 9

3.2.5数模转换方案论证 9

3.2.6滤波方案论证 10

第四章DDS的FPGA实现 11

4.1主程序流程图 11

4.2各单元内部模块设计 12

4.2.1分频模块的设计 12

4.2.2累加器模块的设计 12

4.2.3波形存储模块的设计 13

4.2.4幅度调节的设计 14

4.2.5mif文件设计 15

第五章信号理论与仿真分析 16

5.1信号理论分析 16

5.2QuartusII信号仿真分析 17

5.2.1锁相环的测试 17

5.2.2正弦波低频区高控制字 17

5.2.3正弦波中频区低控制字 18

5.2.4正弦波中频区高控制字 18

5.2.5正弦波高频区低控制字 19

5.2.6正弦波高频区高控制字 19

5.2.7幅度调节除法器测试 19

5.3ModelSim信号仿真分析 20

5.3.1正弦波产生仿真 20

5.3.2三角波产生仿真 20

5.3.3方波产生仿真 21

5.3.4任意波产生仿真 21

5.3.5四波切换仿真 22

5.3.6波形变频仿真 22

5.3.7波形幅度调节仿真 23

第六章硬件电路的设计 24

6.1系统总电路图 24

6.2系统硬件各模块的设计 25

6.2.1液晶连接电路设计 25

6.2.2DA转换电路 25

6.2.3滤波电路的设计 25

6.2.4控制键盘的设计 26

6.2.5幅值检测电路的设计 26

第七章系统测试 27

7.1硬件调试 27

7.2频率字测试 27

7.3参数测试 28

7.4波形测试 29

总结和展望 30

参考文献 31

谢辞 32

附录 33

引言

随着现在工业和科技的不断提高,传统的三极管等分离元件式模拟信号发生器频率稳定性低、可靠性差的特点,已经不可能满足实际应用的需要,所以就必须制作频率稳定性、精确度更高的信号发生器解决这些问题。

传统的信号发生器技术对科学的发展带来了很多,而且随着集成电路技术和数字信号处理的发展,直接数字频率合成技术(DDS)已开始被广泛的用于信号发生器的发生和制作当中。

因此为了迎合多数的商家以及适合市场的要求,大部分的数字频率芯片只能够产生传统正弦波、矩形波、三角波等常用的函数波形。

虽然,现在的主流芯片也提供一些模拟电路调制的功能。

但是,这些数字频率合成芯片把所有功能集中在一块芯片上,必将导致可控性不灵活,而且性能也会受到一定的影响。

在这种情况下,如果我们能充分利用现场可编程门阵列(FPGA)的可重复编程性、资源的丰富性以及高速等性能,利用直接数字频率合成技术,可以实现一个高灵活性的、高可控性的信号发生器系统。

现场可编程门阵列除了能产生专用数字频率合成芯片所具备的各种形式的线性信号以外,还可以轻松实现各种复杂的非线性调频信号、模拟调制信号,这些灵活性是数字频率合成芯片所不能达到的。

基于现场可编程门阵列器件的高速、高可靠性和现场可编程等优点,本技术已开始广泛应用于数字集成电路设计、数字信号处理、通信等不同的科技领域。

利用直接数字频率合成技术FPGA设计的函数信号发生器具有以下优点:

1、输出相位噪声低;

2、频率切换速度快;

3、可以产生任意波形;

4、全数字化实现,便于集成,体积小,重量轻;

5、灵活的接口和控制方式;

6、比专用芯片功耗也低。

第一章DDS技术与原理

1.1DDS相关技术

1971年,美国科学家首次提出了从相位的定义出发来直接合成所需波形的频率生成原理---直接数字频率合成技术(DDS)。

近30多年间,随着科学技术的不断发展,这种技术从而得到了快速的发展,它以有别于其它频率合成方法的优越性迅速成为了现代频率合成技术中的领导者。

1993年出现了一种新式复合式的DDS技术,它由一个低速、高分辨率的DDS和一个高速、低分辨率的相位累加器构成,而且具有高速、低功耗特点。

1994年,出现了利用余弦和正弦的改进对称性的存储技术,在不增加ROM的容量下,能产生200MHz正交输出DDS的方法。

随着超高速集成电路的发展,DDS输出带宽限制的缺点在慢慢被克服。

我们可以猜测,DDS技术产品在向集成化,快速化,高应用性的方向高速发展。

直接数字式频率合成技术是可以说是近些年以来伴随集成电路和计算机系统发展而快速发展而来的一种最新最前沿的频率合成技术。

DDS模块一般可由相位累加器、波形存储器、数模转换器及低通滤波器组成,本技术的原理可以说就是将波形数据先存储为mif或hex文件,随后通过频率控制字的控制下,再经相位累加器来从存储器文件中读出波形数据,最后通过高速数模转换和低通滤波器后输出平滑的频率合成函数波形信号。

1.2DDS的基本原理

图1.1DDS基本原理框图

N

K

fo

相位累加器

波形ROM

D/A转换器

低通滤波器

直接数字频率合成技术可以说是一种最新的频率合成技术,本技术可得到波形很高的频率分辨率,并且可以仅仅利用数字技术来高速实现频率的切换。

本技术的原理是从相位的技术定义出发,对信号的不同点进行抽样,进而通过编程在时钟的作用下产生信号的采样点的大小,再经高速的模数转换芯片得到模拟连续的信号,最后经滤波平滑输出所需函数波形。

其结构如图1.1。

加法器

寄存器

图1.2相位累加器结构图

N位

相位量化序列

图1.1中相位累加器组成如图1.2所示。

相位累加器可分为一个N位字长的加法器和一个N位字长的寄存器,通过时钟信把累加结果反馈到加法器的输入端而实现重复累加的功能。

进而使输出结果时钟周期递增频率控制字K。

波形示意图如图1.3所示。

图1.3波形ROM示意图

地址

数据

波形幅度量化序列

其中相位累加器的字长为N位,DDS控制时钟频率为fo,频率控制字为K。

相位累加器由一个加法器与一个累加寄存器构成。

当一个脉冲fo到来时,加法器将累加寄存器输出相对应的加法位与频率控制字相加,然后相加后的结果送至累加寄存器的数据输出端。

累加寄存器的功能是将加法器在前一个脉冲作用后产生的新的相位数据再次反馈到加法器的输入端,以使加法器在下一个脉冲的作用下继续与新的频率控制字相加。

以此类推,相位累加器在受每一个脉冲输入作用时,加法器与频率控制字累加一次,相位累加器输出的数据就是合成信号所要得到的相位。

DDS的核心模块是相位累加器,利用相位累加器才得以来产生函数信号的相位,我们整个的DDS系统在同一参考时钟下有序的工作,在新时钟到来一次时相位累加器作加法运算一次。

因此我们可以得到,加法器运算的步进越大,波形点数就少,相对应合成的相位值步进变化越大,输出信号的频率相对来说也就越高,但信号的失真相对提高。

对于正弦波信号来说其瞬时的幅值全部取决于瞬时的相位,因为ω=dφ(t)/dt,所以相位值变化越大,信号的频率相对来说就越高。

ROM表的查询将累加器相位转换为幅值的功能。

再由高速D/A芯片完成数字信号到连续信号的转换,D/A输出的信号再经低通滤波器可以得到精确的平滑的连续的信号波形。

相位累加器利用二进制的加法器来模拟理想信号波的2π的相位周期。

相位累加器输出和ROM输出我们可分别理解为正弦波相位信号和时域波形的时钟抽样。

用相位累加器输出的数据作为波形存储器的相位取样地址,这样就可以把存储在波形存储器内的二进制编码经查找表查出,完成相位到幅值转换。

假设,相位累加器字长为N,DDS控制时钟频率为fo,时钟周期为To=1/fo,频率控制字为K。

系统工作时,累加器的单个时钟周期的增量值为△φ=K×

2π/2N,角频率为ω=△φ/dt=△φ/To=2π×

2N×

fo。

所以DDS的输出频率为fDDS=ω/2π=K×

fo/2N,DDS输出频率步进间隔为△fDDS=fo/2N。

第二章FPGA及编程环境

2.1FPGA的基本原理

现场可编程门阵列(FPGA)是专用集成电路(ASIC)中集成度最高的一种,我们可使FPGA器件的内部逻辑模块和外部I/O模块进行特定配置,用来实现我们想要得到的逻辑。

在使用FPGA芯片时,我们利用编程使数据放入特定Flash芯片中,再通过上电加载到FPGA中。

我们也可在线对本软件编程来实现设计的重构,利用这一性质我们可以构造出实时定制的CPU,这一领域现今非常热门。

和其他普通的可编程逻辑器件一样,FPGA也是由逻辑阵列构成,通过编程可将这些逻辑阵列重新连接起来完成特定的功能。

而且,器件中每个逻辑阵列单元的互连续也是可以编程的。

我们可以用图2.1的流程图更直接清晰的展现系统的设计。

原理图/VHDL编辑

FPGA/CPLD器件和系统

综合

功能仿真

FPGA/CPLD适配

时序与功能门级仿真

FPGA/CPLD

编程下载

图2.1FPGA设计流程图

2.2编程软件—QuartusII

图2.2QuartusII软件界面

本设计我们采用的开发工具是QuartusII,它是Altera公司提供的FPGA/CPLD开发集成环境,Altera是最大的可编程逻辑器件供应商之一。

QuartusII软件界面如图2.2所示。

QuartusII在新世纪初开发出,是Altera的集成开发环境MAX+plusII软件的更新换代产品,其使用方便,界面友好。

而且Altera的QuartusII提供了较完美的编程构造环境,基本能实现所以特定设计的需要。

本开发环境完全支持VHDL、Verilog语言的设计流程,其内部嵌有VHDL、Verilog逻辑综合器。

同时,QuartusII具备仿真功能,同时也支持如ModleSim等的其他的仿真工具。

在本设计中,我们调用了ModleSim,如图2.3所示。

使仿真更加具体直观的表现出来。

另外,QuartusII与Matlab、DSPBuilder的结合,也可进行基于FPGA的DSP系统开发,是DSP系统得以实现的重要EDA工具。

图2.3QuartusII调用ModelSim界面

第三章系统方案论证

3.1系统总体方案设计

本系统可分为五大部分:

FPGA主控电路,数模转换,低通滤波电路,液晶显示,键盘控制。

以FPGA芯片为核心,采用直接频率合成(DDS)技术,由外部按键来实现频率的设置和步进,内嵌51内核控制液晶完成信号信息的显示。

数字化后的波形数据mif文件存到存储器中,然后再经地址计数器寻址读出数据mif文件,控制地址计数器的时钟频率即可控制采样点数,这样就控制了输出波形的频率。

由于这些输出数据为二进制量,所以再通过高速D/A转换芯片将二进制量转换为连续的模拟量,最后通过滤波器滤除掉阶梯杂刺即可输出满足要求的平滑的函数波形。

由于本设计采用直接数字频率合成技术(DDS),所以我们运用四片ROM,分别存储正弦波、三角波、矩形波、任意波的波形mif数据,然后设置四选一的十位二进制波形选择器,由地址数据控制字寻址即可输出设定波形。

系统总设计流程图如图5所示:

FPGA

51

液晶显示

键盘控制

频率控制字

幅度控制字

波形选择控制

ROM(1~4)

除法器

高速D/A

滤波器

波形输出

锁相环+分频

晶振

图3.1系统总设计流程图

3.2系统方案论证与选择

3.2.1总体方案论证与比较

方案一:

利用模拟锁相环技术来产生函数信号。

但当前模拟锁相环模拟电路仍然比较复杂、不易调节、成本较高,并且频率调节不方便、范围小,输出波形的毛刺、杂波较多,得不到满意的效果。

方案二:

采用单片机作为核心控制部件直接数字频率合成。

C语言编程,能达到较高的要求,实现各种波形输出,但由于运算位数,运算速度和晶振的影响,产生的波形往往达不到满意效果,频率很难得到较高的要求,并且单片机的IO引脚少,存储容量少,这就直接导致外部搭建电路的复杂。

方案三:

采用FPGA开发板作为核心控制芯片的直接数字频率合成。

波形稳定性非常好,而且得到的信号波形平滑。

本设计控制也非常方便,随意改变频率、幅值控制字就可得到不同频率、幅度的波形,外围D/A,滤波电路的硬件电路简单易搭建。

基于以上论证,我们选择方案三。

3.2.2DDS模块方案论证

采用高性能DDS芯片。

随着现代电子技术的快速发展,目前高超性能优良的DDS产品日益更新。

美国AD公司推出了他们的DDS系列芯片:

AD9850、AD9851,可易实现线性调频的AD9852、两路正交输出的AD9854以及以DDS为核心的QPSK调制器AD9853、高速数字变频器AD9856和AD9857。

AD公司的DDS系列产品以较高的性价比得到了广泛的应用。

自行设计的基于FPGA芯片的DDS。

本技术的高性能的实现依赖于超高速、高指标特性的可编程逻辑器件。

可编程逻辑器件运行速度高、性能规模大,还有强大EDA软件QuartusII、ModelSim的支持,非常容易的利用DDS技术与可编程逻辑器件上。

而且利用可编程逻辑器件可以根据我们的需要非常方便地实现各种比较困难的频率调节、相位调节和幅度调节功能,具有良好的灵活性和实用性。

基于以上论证,我们采用FPGA芯片来实现DDS。

3.2.3数据存储方案论证

将波形离散数据存储在特定存储芯片中,直接利用单片机扫描的方法将数据传输给D/A芯片产生波形输出,这种方法的电路搭建简单。

但由于在此方案中单片机除了要完成波形扫描任务外,还要控制整个系统,且单片机的工作速度也较慢,所以不能很好的完成我们毕业设计题目的要求。

使用FPGA芯片,QuartusII软件调用LPM-ROM,将波形数据存储在其内部的ROM中,通过硬件扫描将波形数据传输给高速D/A芯片产生波形输出。

由于FPGA是一种快速、稳定的可编程逻辑器件,完全可以满足题目的要求。

基于以上论证,我们选择方案二。

3.2.4键盘/显示方案论证

本设计的频率字和相位字输入来实现,通过单片机将数据输入到FPGA信号产生电路中。

我们采用矩阵式键盘,对应0~9个数字键和波形选择,幅度调节键。

显示方案有以下几种。

采用液晶显示屏显示波形信息。

液晶显示屏(LCD)具有屏幕范围大、画面效果非常、而且清晰度高、更加人性化。

采用传统段位数码管显示。

数码管是采用BCD编码显示数字但是数码管界面效果较差,显示的字符少,而且不能显示汉字。

基于以上论证,显示方案我们采用方案一。

3.2.5数模转换方案论证

采用普通D/A转换芯片。

DAC0832是一种8位的数模转换芯片,单电源供电,+5V到+15V正常工作。

基准电压范围为正负10V;

电流建立时间为1us;

CMOS工艺,低功耗20mW。

且价格便宜。

但其转换速度达不到我们设计的要求。

采用高速D/A转换芯片。

为了数据处理结果的准确性,D/A转换芯片必须有足够的转换精度,若能在较高频率下工作,芯片必须有足够快的转换速度。

因为转换精度和转换速度是衡量D/A转换器件性能优劣的主要指标。

十位高速AD7520能更好的满足了论文设计的要求。

3.2.6滤波方案论证

采用RC低通滤波器。

能很好的滤除高频信号,由于不须运算放大器,参数计算容易,对系统要求不高。

但是RC低通滤波器器性能差,衰减大。

因此不符合我们的要求。

采用巴特沃斯滤波器。

我们都知道,巴特沃斯滤波器通融内的波动较小,而且带外的抑制也比较小,另外其矩形系数也比较差,因此不适合作为该设计的滤波模块。

采用椭圆滤波器。

椭圆滤波器我们能够得到较好的矩形系数,而且七阶椭圆滤波器可得到四十分贝的带外抑制,比较适合我们要选择的杂波毛刺等信号的有效过滤。

第四章DDS的FPGA实现

4.1主程序流程图

如图4.1为DDS的FPGA实现的主程序流程图。

开始

初始化

检测按键

是否有按键下

是否确认键

计算控制字

送出控制字

缓冲区清零

是否数字键

存入缓冲区

调用显示子程序

图4.1

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 幼儿教育 > 幼儿读物

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2