基于FPGA的LCD驱动显示电路的设计与实现.docx

上传人:b****6 文档编号:7710259 上传时间:2023-05-11 格式:DOCX 页数:25 大小:374.30KB
下载 相关 举报
基于FPGA的LCD驱动显示电路的设计与实现.docx_第1页
第1页 / 共25页
基于FPGA的LCD驱动显示电路的设计与实现.docx_第2页
第2页 / 共25页
基于FPGA的LCD驱动显示电路的设计与实现.docx_第3页
第3页 / 共25页
基于FPGA的LCD驱动显示电路的设计与实现.docx_第4页
第4页 / 共25页
基于FPGA的LCD驱动显示电路的设计与实现.docx_第5页
第5页 / 共25页
基于FPGA的LCD驱动显示电路的设计与实现.docx_第6页
第6页 / 共25页
基于FPGA的LCD驱动显示电路的设计与实现.docx_第7页
第7页 / 共25页
基于FPGA的LCD驱动显示电路的设计与实现.docx_第8页
第8页 / 共25页
基于FPGA的LCD驱动显示电路的设计与实现.docx_第9页
第9页 / 共25页
基于FPGA的LCD驱动显示电路的设计与实现.docx_第10页
第10页 / 共25页
基于FPGA的LCD驱动显示电路的设计与实现.docx_第11页
第11页 / 共25页
基于FPGA的LCD驱动显示电路的设计与实现.docx_第12页
第12页 / 共25页
基于FPGA的LCD驱动显示电路的设计与实现.docx_第13页
第13页 / 共25页
基于FPGA的LCD驱动显示电路的设计与实现.docx_第14页
第14页 / 共25页
基于FPGA的LCD驱动显示电路的设计与实现.docx_第15页
第15页 / 共25页
基于FPGA的LCD驱动显示电路的设计与实现.docx_第16页
第16页 / 共25页
基于FPGA的LCD驱动显示电路的设计与实现.docx_第17页
第17页 / 共25页
基于FPGA的LCD驱动显示电路的设计与实现.docx_第18页
第18页 / 共25页
基于FPGA的LCD驱动显示电路的设计与实现.docx_第19页
第19页 / 共25页
基于FPGA的LCD驱动显示电路的设计与实现.docx_第20页
第20页 / 共25页
亲,该文档总共25页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

基于FPGA的LCD驱动显示电路的设计与实现.docx

《基于FPGA的LCD驱动显示电路的设计与实现.docx》由会员分享,可在线阅读,更多相关《基于FPGA的LCD驱动显示电路的设计与实现.docx(25页珍藏版)》请在冰点文库上搜索。

基于FPGA的LCD驱动显示电路的设计与实现.docx

基于FPGA的LCD驱动显示电路的设计与实现

 

论文题目基于FPGA的LCD驱动显示电路的设计与实现

 

姓名孙涛

学院宝鸡文理学院

专业电子信息工程

指导教师王春英

 

2012年04月10日

基于FPGA的LCD驱动显示电路的设计与实现

 

作者姓名:

薛文平

指导教师:

田勇

单位名称:

嵌入式系统工程系

专业名称:

电子信息工程(集成电路设计与系统方向)

 

东北大学东软信息学院

2011年5月

 

DesignandImplementationofLCDDriveDisplayCircuitbasedonFPGA

 

byXueWenping

 

Supervisor:

LecturerTianYong

 

NortheasternUniversityNeusoftInstituteofInformation

May2011

毕业设计(论文)任务书

毕业设计(论文)题目:

基于FPGA的LCD驱动显示电路的设计与实现

设计(论文)的基本内容:

本课题主要研究了利用FPGA来驱动字符型液晶显示器模块。

课题关键是对驱动芯片的各个引脚和时序进行控制。

本系统开发环境为WindowsXP,开发语言为VerilogHDL。

设计的目的是为了在LCD液晶显示器上显示任意大小写英文字符和阿拉伯数字,能根据输入数据的变化同步变化LCD上显示的内容所有功能全部用硬件描述语言编程实现下载到Xilinx公司的开发板上进行调整。

完全可以取代传统的利用单片机来驱动液晶显示,且比之有更好的抗干扰性,更高的可靠性。

毕业设计(论文)专题部分:

题目:

                                 

设计或论文专题的基本内容:

学生接受毕业设计(论文)题目日期

             第1周

指导教师签字:

2010年11月30日

基于FPGA的LCD驱动显示电路的设计与实现

摘 要

本课题主要任务是设计基于FPGA的LCD驱动电路的设计和实现,兼顾好程序的易用性,以方便之后模块的移植和应用。

控制器部分采用Verilog语言编写,主体程序采用了状态机作为主要控制方式。

最后实现使用FPGA在LCD上显示任意的英文字符和阿拉伯数字,另外要能根据输入数据的变化同步变化LCD上显示的内容。

同时要能将储存模块中的数据正常地显示在LCD上。

该课题的研究将有助于采用FPGA的系列产品的开发,特别是需要用到LCD的产品的开发。

同时可以大大缩短FPGA的开发时间。

另外,由于模块的易用性,也将使得更多的采用FPGA的产品之上出现LCD,增加人机之间的交互性,为行业和我们的生活带来新的变化。

本文中对FPGA,LCD,ModelSim,XilinxISE8.2i硬件设计工具等进行了简单的介绍,对其功能进行了简单的描述,并了解了LCD液晶显示器的发展历史,日常应用以及相对比于其他种类显示器的优缺点,并对基于FPGA的LCD液晶显示器驱动电路未来的发展趋势进行了展望。

关键词:

FPGA,LCD,状态机,Verilog

DesignandImplementationofLCDDriveDisplayCircuitbasedonFPGA

Abstract

Inthisproject,themainobjectistodesignaLCDcontrollerbasedonFPGA,andatthesametimeemphasizeontheconvenienceforthelaterapplicationandmigration.TheprogramofthecontrolleriswrittenbyVeriloglanguage,andthemainbodyoftheprogramusedstatemachineastheprimarycontrolmethod.displayedpicturewhichwasputearlier.

Inthisproject,Ifinallyrealizedthefollowingfunction.ThefirstoneistodisplayanyEnglishandfigureoncharacteranypositionofthedisplayscreen.Thesecondoneisthedisplayinformationwillinstantaneouslyupdateastheinputdatachanges.

TheresearchofthisprojectwillcontributetothedevelopingprocessofthoseproductswhichuseFPGAs,especiallythoseproductsalsouseLCD.Andatthesametime,itcanreducedramaticallyonthedevelopingtime.Inaddition,fortheconvenienceofthiscontroller,moreandmoreFPGAbasedproductswillcomeoutwithLCDscreen.Thischangewillenhancetheinteractionbetweenhumanandthemachine,andbringinnovationtotheindustryandourlives.

Inthisproject,FPGA,LCD,ModelSim,XilinxISE8.2Ihardwaredesigntoolssimplyintroducesitsfunctionswereasimpledescription,andunderstandingtheLCDmonitorthedevelopmenthistory,andrelativeeverydayapplicationsthaninothertypesofmonitorbasedonFPGAadvantagesanddisadvantages,andtheLCDmonitordrivercircuitfuturedevelopmenttrendsarediscussed.

Keywords:

FPGA,LCD,StateMachine,Verilog

目 录

任务书I

第1章 绪 论

1.1 选题背景

本课题主要任务是设计基于FPGA的LCD驱动显示电路的设计与实现。

兼顾好程序的易用性,以方便之后模块的移植和应用。

最后在FPGA上的任意位置显示任意的英文和数字,另外要能根据输入数据的变化使LCD液晶显示器上显示的内容产生变化。

本课题的研究有助于采用FPGA的系列产品的开发,特别是需要用到基于FPGA的LCD产品的开发。

同时可以大大缩短FPGA的开发时间。

增加人机之间的交互性,为行业和我们的生活带来新的变化。

FPGA是Field-ProgrammableGateArray的缩写,即现场可编程门阵列,它是在GAL、CPLD、PAL等可编程器件的基础上进一步发展的产物。

它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了原有可编程器件门电路数有限的缺点,又克服了定制电路的不足。

我们平时所说的LCD,它的英文全称为Liquid Crystal Display,直译成中文就是液态晶体显示器,简称为液晶显示器。

液晶是一种几乎完全透明的物质。

它的分子排列决定了光线穿透液晶的路径。

到20世纪60年代,有人发现给液晶充电会改变它的分子排列,造成光线的扭曲或折射,这个发现引发了人们发明液晶显示设备的念头。

1.2LCD液晶显示器的发展现状

早期的时候,技术还不成熟,LCD液晶显示器主要被应用于电子表、计算器等领域。

世界第一台真正意义上的液晶显示设备出现在20世纪70年代初,它被称之为TN-LCD(扭曲向列)液晶显示器。

虽然是用单色显示的方式,但它仍然被推广到了电子表、计算器等领域。

和TN技术不同的是,TFT的显示采用“背透式”的照射方式—假想的光源路径是从下向上,而不是像TN液晶那样从上至下。

光源路径设计成从下向上的作法是在液晶的背部设置特殊光管,光源照射时通过下偏光板向上透出。

由于上下夹层的电极改变成为FET电极和共通电极,在FET电极导通时,液晶分子的表现也会改变,可以通过使用遮光和透光的方法来达到显示的目的,响应时间提高到80ms左右。

因为TFT具有比TN更高的对比度,更丰富的色彩和更快的荧屏更新频率,所以TFT俗称“真彩”。

相比较于DSTN,TFT的主要特点是给每个像素都配置了一个半导体开关器件。

由于每个像素都可以通过点脉冲来直接控制,因而每个节点都显得更独立,并可以连续控制。

这样的设计方法不但提高了显示屏的反应速度,而且也可以精确控制显示的灰度,这就是TFT色彩比DSTN更为逼真的原因。

 

2001年以后LCD液晶显示器技术开始走上成熟发展之路、但仍然生存在CRT显示器阴影下(CRT直译中文为:

阴极射线管,英文名称为 Cathode-Ray Tube)。

传统的CRT显示器的发展历程为从黑白到彩色和从球面到柱面再到平面直角最后直至纯平的发展。

在这段非常快速前进的历程中,显示器的视觉效果在跳跃性提高,带宽、画质、分辨率、刷新和色彩率等各项指标均有非常大的提升。

目前主流的纯平显示器色彩真实,图像无扭曲,画面清晰,视角更广阔,而且在设计时还充分考虑到了人类的视觉构造,好的纯平显示器在长时间使用之后,眼睛不会感到疲劳等一系列优势。

但是 同期的液晶显示器存在画面延时,色彩还远不够真实,可视角度削弱等缺点,所以,在2005年以前,LCD液晶显示器一直生活在CRT显示器的阴影下。

不过与此同时我们也对比看到LCD液晶显示器宽广的发展前景:

可以说纯平显示器是CRT显示器发展的最高水平,然而,由于CRT显示器的基本工作原理是依靠高电压激发的游离电子轰击显示屏而产生各种各样的图像,技术已经十分成熟,没有太多的发展余地。

受限于此,传统CRT显示器在体积、重量、功耗等方面露出自己的劣势。

当时,由于液晶面板厂商基本都是第三代以前的生产线,在切割15、17吋等主流尺寸液晶面板的时候成本居高不下,所以,在那个年代,LCD的售价也自然居高不下,15吋LCD液晶显示器售价达到4000元以上,和当时同样显示面积的17吋CRT显示器2000多元的价格根本没有任何优势,所以,在那个年代,LCD液晶显示器只是一些奢侈玩家的摆设品。

经过2003年LCD液晶显示器大幅度调价,消费者发现LCD液晶显示器的价格与CRT显示器进一步接近了,尤其是大尺寸LCD液晶显示器的售价和同尺寸的CRT显示器相比甚至有一些尺寸开始有优势。

大家在关注液晶显示器的同时,开始注意到在液晶显示器具备一些独特的优势。

1、大大提高桌面利用率

2、易于悬挂、拼接

3、接口更丰富、DVI成为标准配置

4、分辨率更高,相同尺寸的可视面积更大

从2003年开始,各大显示器厂商开始意识到一个重要的问题,要提高LCD液晶显示器的市场地位,他们当务之急是解决LCD液晶显示器拖影问题。

所以,我们可以注意到,LCD液晶显示器响应时间技术从2003年开始飞速发展,从早期的50ms到06年的1ms,这完全是一个质的改变。

2003年以前,还是有很多40毫秒甚至是50毫秒的产品的,它们充其量只能应付一些基本的文本办公,游戏应用根本无从谈起。

不少早期的用户有这种体验,在CRT上玩惯魔兽之后,突然换成一台响应时间为30ms的LCD液晶显示器上进行游戏,感觉自己操作以后技能和任务迟迟没有发生变化,这就是LCD液晶显示器早期被游戏玩家严重诟病的一个地方。

1.3 选题目的

本课题主要任务是设计基于FPGA的LCD驱动电路的设计和实现,兼顾好程序的易用性,以方便之后模块的移植和应用。

最后在FPGA上显示任意的英文字符和数字,另外要能根据输入数据的变化同步变化LCD液晶显示器上显示的内容。

同时要能将储存模块中的数据正常地显示在LCD液晶显示器上。

第2章 关键技术介绍

2.1 FPGA简介

FPGA(Field-ProgrammableGateArray),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物;它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。

目前主流的FPGA依然是基于查找表技术的,它已经很大程度上超出了先前版本FPGA的基本性能,而且还整合了用户常用功能(如时钟管理、RAM和DSP)的硬核(ASIC型)模块。

FPGA芯片主要分成6个部分,他们分别是:

基本可编程逻辑单元、可编程输入输出单元、完整的时钟管理、丰富的布线资源、嵌入块式RAM、内嵌的底层功能单元和内嵌专用硬件模块。

其的基本特点主要有:

1、FPGA可以做为其它的半定制或全定制ASIC电路中的试样片。

2、采用FPGA设计的ASIC电路,用户不需要投片生产,就能够得到想要的芯片。

3、FPGA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。

4、FPGA的内部有非常丰富的触发器和I/O引脚。

5、FPGA是ASIC电路中开发费用最低、设计周期最短、风险最小的器件之一

2.2 LCD简介

2.2.1 LCD的简介

LCD显示器是LiquidCrystalDisplay的简称,LCD液晶显示器的构造是在两片平行的玻璃之中放入液态的晶体,两片玻璃的中间含有很多垂直的和水平的细小电线,通过为不同的液晶单元供电来控制杆状水晶分子方向的改变,将光线折射出来产生画面。

液晶显示器具备的一些独特优势:

1、大大提高桌面利用率

大屏幕液晶显示器轻薄的机身对提高桌面利用率是显而易见的。

19英寸的CRT显示器其厚度普遍有40cm之巨,而当时相同尺寸的液晶显示器厚度不超过4cm,大大节约了桌面空间。

随着双头输出显卡的普及,越来越多的用户需要同时使用两台显示器,笨重硕大的CRT显示器显然不再适合,液晶显示器才是最佳对象。

2、易于悬挂、拼接

 大屏幕液晶显示器大多数均设有VESA标准的悬臂接口,可以方便与各种各样的悬臂支架配合应用在特殊的场合中,而液晶显示器特有的窄边框设计使其在拼接成屏幕墙的时候更加完美。

而CRT由于重量及外形原因,悬挂及拼接电视墙相对成本要高很多,且效果并不理想。

3、接口更丰富、DVI成为标准配置

传统的D-Sub模拟接口和数字化的DVI视频接口已经成为当时大屏幕液晶显示器事实上的标准配置。

大家不但可以通过数字化的视频接口享受无信号失真的干净画面和操控的便利性,还可以通过传统D-Sub接口兼容旧显卡让两台主机共用同一台显示器。

多数大屏幕液晶显示器还配备了其它模拟视频输入接口和3.5毫米音频输入接口以供多媒体应用,部分产品甚至还配备USB Hub。

而小屏幕液晶显示器由于产品普遍定位较低和可供利用空间有限,只有在某些高端型号才配备部分上述接口。

4、分辨率更高,相同尺寸的可视面积更大

 传统的CRT显示器分辨率普遍要比同尺寸的液晶显示器要低,17英寸CRT显示器的分辨率普遍为1024*768,而17英寸普屏LCD液晶显示器支持1280×1024,同时它的可视面积相当于19英寸CRT显示器的可视面积。

更高的分辨率可以在屏幕上显示更多的资讯,即使以后观看1920×1080的HDTV节目源也不至于丢失太多的像素。

另外,更大显示面积令用户在欣赏电影时候不再只局限于一个视觉效果最佳的“皇帝位”,即便是2~3人也能同时看到相同质量的画面。

2.2.2 TC1602液晶模块简介

一、TC1602液晶模块是一种用5×7点阵图形来显示字符的液晶显示器,根据显示的容量可以分为1行16个字、2行16个字、2行20个字等,最常用的为2行16个字。

TC1602液晶模块的一些主要技术参数:

1、逻辑工作电压(VDD):

+4.5~+5.5V

2、LCD驱动电压(VDD-VL):

+4.5~+13.0V

3、工作温度(Ta):

0~60°C(常温)/-20~75°C(宽温)

4、工作电流:

<2.0mA

5、屏幕视域尺寸:

62.5×16.1mm

二、TC1602字符液晶模块特点

1、可与8位或者4位微处理器直接连接;

2、内置字符发生器ROM可提供160种工业标准字符,包括全部大小写字母、阿拉伯数字及日文片假名,以及32个特殊字符或符号显示;

3、内置RAM可根据用户需要,自行设计定义字符或符号;

4、+5V单电源供电;

5、低功耗。

2.3系统软件

软件开发环境是利用Xilinx工具,Xilinx工具是世界领先的可编程逻辑完整的解决方案的供应商,研发,制造并且销售应用范围广泛的高级集成电路,软件设计工具。

并且,能够定义系统级功能的IP核(IntellentualProrerty),Xilinx工具长期以来一直致力于推动FPGA产业技术的更新和发展。

Xilinx开发工具不断地升级,由早期的Foundation系列逐步发展到如今目前的ISE9.1i系列,工具集成了FPGA开发板所需要的所有功能,此次项目设计我所采用的是XilinxISE8.2i硬件设计工具。

相对容易使用而且有着独一无二的PLD设计环境。

XilinxISE8.2i硬件设计工具将先进的技术与灵活性,方便使用性的图形界面结合一起,使您在短时间设计出您想要设计的硬件设计。

XilinxISE8.2i,不管您经验如何,都是硬件设计新手的最佳选择工具。

Mentor公司的ModeSim是业界最优秀最可靠的HDL语言仿真软件,它能够提供简易的仿真环境,是业界唯一在单内核支持VHDL和Verilog混合仿真的仿真软件。

ModelSim采用直接优化的编译技术,Tcl/TK技术和单一内核仿真技术,使在编译仿真时候速度快,编译的代码与平台关系无关,便于保护IP核,个性化的图形界面和用户接口,全面支持VHDL和Verilog语言对IEEE标准的准确,除众多优势之外,它还能够支持C/C++功能调试和调用。

ModelSim最大的特点是强大的调试功能,先进数据流窗口,可快速追踪到产生不定或者错误状态的最终原因,性能分析工具帮助分析性能的上下瓶颈,加速仿真速率,检查确保测试的完备性能,多种模式下的波形比较功能,先进的SignalSpy强化功能,地址访问VHDL或者Verilog和VHDL混合设计中的最底层信号,并且支持加密IP,可与Matlab的Simulink的联合仿真功能。

此外,ModeSim的特点还有,RTL级和门级电路的优化,具有集成性能分析,对SystemC的直接编译可以与HDL任意混合。

所以说,它是目前世界上对系统级别硬件描述语言综合仿真工具最全面支持的工具。

第3章 系统分析

3.1 FPGA的设计方法

一般用到的FPGA的设计方法有“自顶向下”和“自下而上”这两种。

到目前为止大规模FPGA设计经常所采用的设计方法是“自顶向下”的设计方法。

所谓“自顶向下”设计方法就是采用可以完全独立于芯片厂商以及他们的产品结构的描述语言,在功能级设计上对设计的产品进行定义,然后再结合其功能仿真技术,最后确保对产品的设计的正确性,在对其功能定义完成后,利用逻辑综合技术,把功能描述转换成某一含有具体结构芯片的网表文件,输出最后要给厂商的布局布线器再进行布局布线。

布局布线的结果还可以返回同一仿真器,进行包括时序和功能的后验证,以此来保证因为布局布线所带来的门延时和线延时不会影响到设计的性能。

“自顶向下”的优越性是比较显而易见的。

第一,因为它的功能描述可以完全独立于芯片结构,在进行设计的最初阶段,设计师完全可以不受芯片结构的约束,集中全部精力对产品进行设计,因此可以避免了传统设计方法所带来的重新再设计风险,在最大限度上缩短了设计周期。

第二,设计的再利用得到了保证。

目前的电子产品正在向着模块化方向发展。

所谓模块化就是对以往设计得成果进行修改、组合和再利用,产生全新的或派生设计。

而“自顶向下”的功能描述可与芯片结构无关。

因此,可以以一种IP(IntelligenceProperty知识产权)的方式进行存档,方便将来的重新利用。

第三,在设计规模上大大提高。

简单的语言描述就可以完成复杂的功能,且不需要手工绘图。

最后,在芯片的选择上更加灵活。

设计师可在较短的时间内采用所能见到的各种结构芯片来完成同一功能描述,从而在设计规模、速度、芯片价格及系统性能要求等方面进行平衡,选择最佳结果。

目前最为常用的功能描述方法是采用均已成为国际标准的两种硬件描述语言VHDL和VerilogHDL。

本课题使用的为VerilogHDL。

3.2 VerilogHDL和VHDL的异同

VerilogHDL和HDL都是用于逻辑设计的硬件描述语言,并且都已成为IEEE标准。

VHDL是在1987年成为IEEE标准,而VerilogHDL则是在1995年才正式成为IEEE标准。

之所以VHDL比VerilogHDL更早成为IEEE标准,是因为VHDL是美国军方组织开发的,而VerilogHDL只是从一个普通的民间公司的私有财产转化而来,基于VerilogHDL的优越性,才成为的IEEE标准,因而有更强的生命力。

VHDL其英文全名为VHSICHARDWAREDESCRIPTIONLanguage,而VHSIC则是VeryHighSpeedIntegeratedCIRCUIT的缩写词,译为甚高速集成电路,所以VHDL准确的中文译名为甚高速集成电路的硬件描述语言。

VerilogHDL和VHDL作为描述硬件电路设计的语言,他们共同的特点在于:

可借用高级语言的精巧结构来简化电路行为的描述、支持逻辑设计中层次与范围的描述、能形式化地抽象表示电路的行为和结构、具有电路仿真与验证机制以保证设计的正确性、支持电路描述由高层到低层的综合转换、硬件描述与实现工艺无关(有关工艺参数可通过语言提供的属性包括进去)、便于文档管理、易于理解和设计重用。

在这些共同点的基础上,VerilogHDL和VHDL又各有其自己的特点。

由于VerilogHDL早在1983年就已推出,至今已有近二十年的应用历史,因而VerilogHDL拥有更广泛的设计群体,成熟的资源也远比VHDL丰富。

与VHDL相比VerilogHDL的最大优点是:

它是一种非常容易掌握的硬件描述语言,只要有C语言的编程基础,通过一段时间的学习,再加上一段实际操作,就可以掌握这种设计技术。

但是掌握VHDL设计技术就比较困难。

这是因为VHDL不是很直观,需要有Ada编程基础,一般认为需要较长时间的专业培训,才能掌握VHDL的基本设计技术。

目前版本的VerilogHDL和VHDL在行为级抽象建模的覆盖范围方面也有所不同。

一般认为VerilogHDL在系统级抽象方面比VHDL略差一些,而在门级开关电路描述方面比VHDL强得多。

3.3 TC1602液晶模块的工作方式

本课题中使用的TC1602液晶模块采用标准的16脚接口,其引脚功能如下:

第1脚:

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 农林牧渔 > 林学

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2