基于FPGA高性能温控调速风扇系统设计Word文件下载.docx

上传人:b****3 文档编号:8120339 上传时间:2023-05-10 格式:DOCX 页数:27 大小:1.43MB
下载 相关 举报
基于FPGA高性能温控调速风扇系统设计Word文件下载.docx_第1页
第1页 / 共27页
基于FPGA高性能温控调速风扇系统设计Word文件下载.docx_第2页
第2页 / 共27页
基于FPGA高性能温控调速风扇系统设计Word文件下载.docx_第3页
第3页 / 共27页
基于FPGA高性能温控调速风扇系统设计Word文件下载.docx_第4页
第4页 / 共27页
基于FPGA高性能温控调速风扇系统设计Word文件下载.docx_第5页
第5页 / 共27页
基于FPGA高性能温控调速风扇系统设计Word文件下载.docx_第6页
第6页 / 共27页
基于FPGA高性能温控调速风扇系统设计Word文件下载.docx_第7页
第7页 / 共27页
基于FPGA高性能温控调速风扇系统设计Word文件下载.docx_第8页
第8页 / 共27页
基于FPGA高性能温控调速风扇系统设计Word文件下载.docx_第9页
第9页 / 共27页
基于FPGA高性能温控调速风扇系统设计Word文件下载.docx_第10页
第10页 / 共27页
基于FPGA高性能温控调速风扇系统设计Word文件下载.docx_第11页
第11页 / 共27页
基于FPGA高性能温控调速风扇系统设计Word文件下载.docx_第12页
第12页 / 共27页
基于FPGA高性能温控调速风扇系统设计Word文件下载.docx_第13页
第13页 / 共27页
基于FPGA高性能温控调速风扇系统设计Word文件下载.docx_第14页
第14页 / 共27页
基于FPGA高性能温控调速风扇系统设计Word文件下载.docx_第15页
第15页 / 共27页
基于FPGA高性能温控调速风扇系统设计Word文件下载.docx_第16页
第16页 / 共27页
基于FPGA高性能温控调速风扇系统设计Word文件下载.docx_第17页
第17页 / 共27页
基于FPGA高性能温控调速风扇系统设计Word文件下载.docx_第18页
第18页 / 共27页
基于FPGA高性能温控调速风扇系统设计Word文件下载.docx_第19页
第19页 / 共27页
基于FPGA高性能温控调速风扇系统设计Word文件下载.docx_第20页
第20页 / 共27页
亲,该文档总共27页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

基于FPGA高性能温控调速风扇系统设计Word文件下载.docx

《基于FPGA高性能温控调速风扇系统设计Word文件下载.docx》由会员分享,可在线阅读,更多相关《基于FPGA高性能温控调速风扇系统设计Word文件下载.docx(27页珍藏版)》请在冰点文库上搜索。

基于FPGA高性能温控调速风扇系统设计Word文件下载.docx

Major:

OptoelectronicInformationEngineering

CollegeofOptoelectronicEngineering

ChongqingUniversity

June2015

摘要

温控风扇在现代社会中的生产以及人们的日常生活中都有广泛的应用,如工业生产中大型机械散热系统中的风扇、现在笔记本电脑上广泛应用的智能CPU风扇等。

在现阶段,温控风扇的设计已经有了一定的成效,可以使风扇根据环境温度的变化进行自动调速,当温度升高到一定时能自动启动风扇,当温度降到一定时能自动停止风扇的转动,实现智能控制。

随着FPGA在各个领域的广泛应用,许多用FPGA做控制核心的温度控制系统也应运而生。

它使风扇根据环境温度的变化实现自动启停,使风扇转速随着环境温度的变化而变化,实现了风扇的智能调控。

本设计采用ALTER公司Cyclone-VFPGA作为控制器,利用温度传感器DS18B20作为温度采集元件,并根据采集到的温度,经过高精度的调速算法,最终将用于调速的PWM脉冲信号传递给L298N电机驱动芯片以驱动并控制直流电机的转速。

采用矩阵键盘作为控制系统的输入,可选择手动模式和自动模式,以及进行档位选择。

手动模式下,可以人为选择风扇的档位与转速;

自动模式下,根据温度的变化自动改变风扇电机的转速,同时在LED数码管显示当前的温度、所选的工作模式和档位。

蜂鸣器用于提示按键成功,LED灯用于档位提示并方便使用者夜间操作。

为了让风扇能够直接由220V直接供电,文中对电源进行了单独的设计,让其更具有使用价值。

关键词:

FPGA,温度控制,直流电机,PWM调速,电源设计

Abstract

Thefancontrolledbytemperatureiswidelyusedinmodernsocietyproductionsandpeople’sdailylife,suchasthefanoflarge-scaleindustrialproductionofmechanicalcoolingsystemandtheCPUfanofthenotebookcomputerwhicharenowwidelyused.Atpresent,thedesignoftemperaturecontrolfanhaveacertaineffect,whichcanmakethefanspeedautomaticallychangeaccordingtotheenvironmenttemperature.whenthetemperaturerisestoacertainone,itcanautomaticallystartthefan,whenthetemperaturedropstoacertainone,itcanautomaticallystoptherotationofthefan,whichachievestheintelligentlycontrolofthefan.AsFPGAiswidelyusedinvariousfields,manytemperaturecontrolsystemsbasedonFPGAalsoemergeasthetimesrequire.Itmakesthefanaccordingtothechangeofenvironmenttemperaturerealizetheautomaticstartingandstoppingandthefanspeedvarieswiththetemperatureoftheenvironment,whichrealizestheintelligentcontrolofthefan.ThisdesignusesALTERCyclone-VFPGAasthecontroller,usingthetemperaturesensorDS18B20asthetemperatureacquisitiondevice,andthecollectedtemperaturethroughthespeedcontrolalgorithmwithhighprecisionwilleventuallybeusedtotransmitPWMpulsesignaltocontrolL298NmotordriverchiptodriveandcontrolthespeedofDCmotor.Using4*4matrixkeyboardastheinputofcontrolsystem,youcanchoosemanualmodeandautomaticmode,andmakegearsselection.Inmanualmode,youcanstallspeedandartificialselectionofthefan;

Intheautomaticmode,thefanmotorspeedcanchangeautomaticallyaccordingtothechangeoftemperature,atthesametime,itusestheLEDdigitaltubetodisplaysthecurrenttemperature,theworkingmodesandthegearschosen.Thebuzzerpromptsareusedforkeysuccessproving,LEDlightsforshiftreminderandwhichisconvenientforuserstooperateatnight.Inordertoletthefancanbepowereddirectlyby220V,thepowersupplycircuitisseparatelydesigned,whichmakeitbemorepragmaticvalue.

Keywords:

FPGA,Temperaturecontrol,DCmotor,PWM,Powerdesign

1绪论

1.1引言

生活中,我们经常会使用一些与温度有关的设备。

比如,现在虽然不少城市家庭用上了空调,但在占中国大部分人口的农村地区依旧使用电风扇作为降温防暑设备,春夏(夏秋)交替时节,白天温度依旧很高,电风扇应高转速、大风量,使人感到清凉;

到了晚上,气温降低,当人入睡后,应该逐步减小转速,以免使人感冒。

虽然电风扇都有调节不同档位的功能,但必须要人手动换档,睡着了就无能为力了,而普遍采用的定时器关闭的做法,一方面是定时时间长短有限制,一般是一两个小时;

另一方面可能在一两个小时后气温依旧没有降低很多,而风扇就关闭了,使人在睡梦中热醒而不得不起床重新打开风扇,增加定时器时间,非常麻烦,而且可能多次定时后最后一次定时时间太长,在温度降低以后风扇依旧继续吹风,使人感冒;

第三方面是只有简单的到了定时时间就关闭风扇电源的单一功能,不能满足气温变化对风扇风速大小的不同要求。

又比如在较大功率的电子产品散热方面,现在绝大多数都采用了风冷系统,利用风扇引起空气流动,带走热量,使电子产品不至于发热烧坏。

要使电子产品保持较低的温度,必须用大功率、高转速、大风量的风扇,而风扇的噪音与其功率成正比。

如果要低噪音,则要减小风扇转速,又会引起电子设备温度上升,不能两全其美。

1.2发展现状与应用领域

当今社会已经完全进入了电子信息化,温度控制器在各行各业中已经得到了充分的利用。

具有对温度进行实时监控的功能,以保证工业仪器,测量工具,农业种植的正常运作,它的最大特点是能实时监控周围温度的高低,并能同时控制电机运作来改变温度。

它的广泛应用和普及给人们的日常生活带来了方便。

简易温度监测控制器是利用嵌入式系统来完成的一个小型的控制系统。

现阶段运用与国内大部分家庭,系统效率越来越高,成本也越来越低。

其发展趋势可以根据其性质进行相应的改进可以运用与不同场合的温度监测控制,并带来大量的经济效益。

它广泛应用于城市、农村、各种工业生产,在一定情况下亦适用于太阳能、锅炉及对温度敏感的产业的自动控制和温度报警,是实现无人值守的理想产品,市场极为广阔,需求量大。

并且使用寿命长,适用范围广,安装极其容易。

电风扇曾一度被认为是空调产品冲击下的淘汰品,其实并非如此,市场人士称,家用电风扇并没有随着空调的普及而淡出市场,近两年反而出现了市场销售复苏的态势。

其主要原因:

一是风扇和空调的降温效果不同——空调有强大的制冷功能,可以快速有效地降低环境温度,但电风扇的风更温和,更加适合老人儿童和体质较弱的人使用;

二是电风扇有价格优势,价格低廉而且相对省电,安装和使用都非常简单。

尽管电风扇有其市场优势,但传统电风扇还是有许多地方应当进行改良的,最突出的缺点是它不能根据温度的变化适时调节风力大小,对于夜间温差大的地区,人们在夏夜使用电风扇时可能遇到这样的问题:

当凌晨降温的时候电风扇依然在工作,可是人们因为熟睡而无法察觉,既浪费电资源又容易引起感冒,传统的机械定时器虽然能够控制电风扇在工作一定后关闭,但定时范围有限,且无法对温度变化灵活处理。

鉴于以上方面的考虑,我们需要设计一种智能电风扇控制系统来解决这些问题。

本设计的前景很广,可以在各大校园进行广泛推广,相信会获得众多大学生的欢迎,尤其是寝室未装空调的各大校园。

2整体方案设计

2.1性能要求

要求设计的温控调速系统的性能指标如下:

1温度分辨率:

±

0.5℃;

2可直接220V交流供电;

3要求转速随温度调节的级数在50级以上;

④风扇应有手动模式和自动模式,手动模式风扇转速由使用者选择风扇档位,自动模式根据实时温度控制转速;

5能够实时显示当前温度和风扇工作的状态;

6对按键成功进行提示

7能够夜间小范围照明

2.2系统整体设计

本设计的整体思路是:

以ALTER的Cyclone-VFPGA作为控制中心,在自动模式下,通过温度传感器DS18B20检测环境温度并直接输出数字温度信号给FPGA进行处理,通过高精度的控制算法计算,将用于调速的PWM信号传递给L298N驱动芯片,以控制电机的转速。

在LED数码管上显示当前环境温度值、工作模式以及选择的档位。

通过独立键盘输入,进行功能选择和档位选择,蜂鸣器判断按键成功与否。

FPGA与电机的供电皆由电源电路独立供电。

系统整体结构框图如图2.1所示:

图2.1系统整体结构框图

模块功能描述

①温度传感器模块:

本实验中采用PT100铂电阻温度传感器进行测温,铂电阻的阻值与温度成正比,可以用电桥法将传感器的阻值变化量转化为电压信号,再将电压信号通过ADC0809进行转换,从而得到8位的数字温度信号

②温度显示模块:

根据PT100型铂热电阻分度表对温度传感器输出的8位数字信号进行译码,由于在本次设计中采用的是4位的数码管,所以将温度信号译为8位的BCD码,从而显示出当前的温度。

③开关输入模块:

电风扇设置有开关键、reset键和锁存键,开关键控制电机的通电状态,reset键可以使电机的档位回归为最低的档位,锁存键则是可以使电机的档位保存在当前的状态,不随温度的变化而发生变化。

④FPGA控制模块:

使用VerilogHdl语言来实现整个电风扇的状态控制。

根据开始工作时的温度来设定温度值的上下限。

若温度超出了上下限,则进入相应的状态内,此时重新设定温度值的上下限。

当温度低于一定的值时,则电风扇自动停止工作;

而当温度高于这个值时,电风扇自动重新工作。

当档位处于最高档位时,此时温度上升档位也不会发生变化。

⑤电机控制模块和档位显示模块:

根据电风扇所在的状态,输出不同占空比的PWM以及档位的显示信号。

档位通过数码管来显示。

将输出的PWM信号经过放大处理后输入到直流电机,从而控制电机的转动。

2.3方案论证

本设计要实现风扇直流电机的温度控制,使风扇电机能根据环境温度的变化自动启停及改变转速,需要比较高的温度变化分辨率以及稳定可靠的换挡停机控制部件。

2.3.1温度传感器的选择

在本设计中,温度传感器的选择有以下两种方案:

方案一:

采用热敏电阻作为检测温度的核心元件,并通过运算放大器放大,由于热敏电阻会随温度变化而变化,进而产生输出电压变化的微弱电压变化信号,再经模数转换芯片ADC0809将微弱电压变化信号转化为数字信号输入FPGA处理。

方案二:

采用数字式的集成温度传感器DS18B20作为温度检测的核心元件,由其检测并直接输出数字温度信号给FPGA进行处理。

对于方案一,采用热敏电阻作为温度检测元件,有价格便宜,元件易购的优点,但热敏电阻对温度的细微变化不太敏感,在信号采集、放大以及转换的过程中还会产生失真和误差,并且由于热敏电阻的R-T关系的非线性,其自身电阻对温度的变化存在较大误差,虽然可以通过一定电路来修正,但这不仅将使电路变得更加复杂,而且在人体所处环境温度变化过程中难以检测到小的温度变化。

故该方案不适合本系统。

对于方案二,由于数字式集成温度传感器DS18B20的高度集成化,大大降低了外接放大转化等电路的误差因数,温度误差变得很小,并且由于其检测温度的原理与热敏电阻检测的原理有着本质的不同,使得其温度分辨力极高。

温度值在器件内部转化成数字量直接输出,简化了系统程序设计,又由于该温度传感器采用先进的单总线技术,与FPGA的接口变得非常简洁,抗干扰能力强,因此该方案适用于本系统。

2.3.2调速方式的选择

采用数模转换芯片DAC0832来控制,由FPGA根据当前环境温度值输出相应数字量到DAC0832中,再由DAC0832产生相应模拟信号控制晶闸管的导通角,从而通过无级调速电路实现风扇电机转速的自动调节。

采用FPGA软件编程实现PWM(脉冲宽度调制)调速的方法。

PWM是英文PulseWidthModulation的缩写,它是按一定的规律改变脉冲序列的脉冲宽度,以调节输出量和波形的一种调节方式,在PWM驱动控制的调节系统中,最常用的是矩形波PWM信号,在控制时需要调节PWM波得占空比。

占空比是指高电平持续时间在一个周期时间内的百分比。

在控制电机的转速时,占空比越大,转速就越快,若全为高电平,占空比为100%时,转速达到最大。

对于方案一,该方案能够实现对直流风扇电机的无级调速,速度变化灵敏,但是D/A转换芯片的价格较高,与其温控状态下无级调速功能相比性价比不高。

对于方案二,相对于其他用硬件或者软硬件相结合的方法实现对电机进行调速而言,采用PWM用纯软件的方法来实现调速过程,具有更大的灵活性,并可大大降低成本,能够充分发挥FPGA的功能,对于简单速度控制系统的实现提供了一种有效的途径,并且所输出的FPGA信号可直接提供给L298N芯片去驱动并控制24V直流电机。

综合考虑选用方案二。

2.3.3显示方式的选择

采用四位共阳数码管显示温度,动态扫描显示方式。

采用液晶显示屏LCD显示温度

对于方案一,该方案成本低廉,显示温度明确醒目,在夜间也能看见,功耗极低,显示驱动程序的编写也相对简单,这种显示方式得到广泛应用。

不足的地方是扫描显示方式是使四个LED逐个点亮,因此会有闪烁,但是人眼的视觉暂留时间为20MS,当数码管扫描周期小于这个时间时人眼将感觉不到闪烁,因此可以通过增大扫描频率来消除闪烁感。

对于方案二,液晶体显示屏具有显示字符优美,不但能显示数字还能显示字符甚至图形的优点,这是LED数码管无法比拟的。

但是液晶显示模块价格昂贵,驱动程序复杂,从简单实用的原则考虑,本系统采用方案一

2.4重点研究内容及实现途径

同时设置了一个下限温度,当温度低于此下限温度时,电扇停止工作;

而当温度超过此温度时电风扇又将重新启动。

面板(显示)、操作、规则本设计中通过数码管来显示测得的温度。

电设置有开关键、reset键和锁存键,开关键控制电机的通电状态,reset键可以使电机的档位回归为最低的档位,锁存键则是可以使电机的档位保存在当前的状态,不随温度的变化而发生变化。

输入、输出接口本设计中输入为数字温度信号,输出的PWM控制波形。

数字温度信号是通过温度传感器和模数转换器获得的。

根据输入的数字温度信号,利用FPGA进行分析处理输出占空比可调的PWM来控制电机的转速。

电源电路的设计,通过桥式整流电路并运用LM2575T实现交流转直流,将其输出的电压提供给L298N驱动以及对FPGA提供电源。

3硬件电路原理及设计

3.1FPGA

FPGA是英文FieldProgrammableGateArray的缩写,即现场可编程门阵列,它是在PAL、GAL、EPLD等可编程器件的基础上进一步发展的产物。

它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。

FPGA采用了逻辑单元阵列LCA(LogicCellArray)这样一个新概念,内部包括可配置逻辑模块CLB(ConfigurableLogicBlock)、输出输入模块IOB(InputOutputBlock)和内部连线(Interconnect)三个部分。

FPGA的基本特点主要有:

①采用FPGA设计ASIC电路,用户不需要投片生产,就能得到合用的芯片。

②FPGA可做其它全定制或半定制ASIC电路的中试样片。

③FPGA内部有丰富的触发器和I/O引脚。

④FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。

⑤FPGA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。

可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。

目前FPGA的品种很多,有XILINX的XC系列、TI公司的TPC系列、ALTERA公司的FIEX系列等。

FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。

用户可以根据不同的配置模式,采用不同的编程方式。

加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成后,FPGA进入工作状态。

掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能够反复使用。

FPGA的编程无须专用的FPGA编程器,只须用通用的EPROM、PROM编程器即可。

当需要修改FPGA功能时,只需换一片EPROM即可。

这样,同一片FPGA,不同的编程数据,可以产生不同的电路功能。

因此,FPGA的使用非常灵活。

FPGA有多种配置模式:

并行主模式为一片FPGA加一片EPROM的方式;

主从模式可以支持一片PROM编程多片FPGA;

串行模式可以采用串行PROM编程FPGA;

外设模式可以将FPGA作为微处理器的外设,由微处理器对其编程。

3.2CycloneV-EP4CE6芯片

3.2.1芯片简介

Cyclone 

V是FPGA制造厂商ALTER下面的一个系列产品,其采用了TSMC的28-nm低功耗(28LP)工艺进行开发,满足了目前大批量低成本应用对最低功耗、最低成本,以及最优性能水平的需求。

与前几代产品相比,该系列总功耗降低了40%,静态功耗降低了30%。

Cyclone 

FPGA提供功耗最低的串行收发器,每通道在5Gbps时功耗只有88-mW,处理性能高达4,000-MIPS,而功耗不到1.8W。

此外,该系列集成了丰富的硬核知识产权模块,例如,支持400MHz 

DDR3和PCIExpress 

Gen2硬核IP模块的多功能硬核存储器控制器等,帮助工程师降低系统成本和功耗,缩短设计时间,同时突出产品优势。

为保护宝贵的IP投入,该系列还提供最全面的设计保护功能,包括支持易失和非易失密钥的256位高级加密标准(AES)。

3.2.2EP4CE6开发板

在本次设计中,采用了EPRCE6-FPGA芯片,其采用了TSMC的28-nm低功耗(28LP)工艺进行开发,满足了目前大批量低成本应用对最低功耗、最低成本,以及最优性能水平的需求。

支持400MHzDDR3和PCIExpressGen2硬核IP模块的多功能硬核存储器控制器等,帮助工程师降低系统成本和功耗,缩短设计时间,同时突出产品优势,同时,为了缩短设计周期,设计直接从网上购买了开发板,以保证设计的进度。

开发板具体性能及参数如下:

①采用EPCS4SI8N串行配置芯片,同时支持JTAG和AS模式;

②采用50MHz有源晶振,提供系统工作时钟;

③采用电源芯片1117‐3.3V,提供3.3V电压输出;

④采用5V直流电源插座和USB供电方式,两种供电方式方便开发板的使用

⑤采用自恢复保险丝、肖特基二极管的应用,大大提高了电路的可靠性和安全性;

⑥采用自锁按键电源开关;

⑦一个重新配置按键,为用户提供重新配置信号;

JTAG下载接口,一般用于测试过程中,对应下载的文件是SOF文件,下载速度快,但是掉电程序丢失;

AS下载接口,一般用于固化程序,对应下载的是POF文件,下载速度相对较慢,但是掉电程序不丢失,在日常学习的中建议使用JTAG方式;

3.2.3时钟部分和复位电路

AlteraFPGA开发板采用50M有源贴片时钟,提供芯片的主时钟,方便用户其他使用。

提供一个全局复位按键,提供复位信号,时钟部分电源均经过了滤波处理,提高了电源的稳定性。

AlteraFPGA核心板中对这些时钟引脚进行了如下处理:

①CLK1作系统工作时钟,直接接入晶振.

②CLK2用作系统复位引脚,可以实现复位功能;

③CKL3、CLK4、CLK5、CLK6、CLK7已经引出,用户在使用时只能作为输入引脚;

电路原理如图3.1所示。

图3.1时钟与复位电路原理图

3.2.4内部电源电路

电源部分是保证整个开发板系统正常工作最重要的部分。

AlteraFPGA开发板外部采用输入直流5V电源和usb供电两种供电模式,经过AMS1117‐3.3V稳压后输出3.3V,3.3V主要用于给FPGA所有I/O口,以及核心板存储器电路、串行配置器件、复位电路和LED指示灯等供电。

然后3.3V送给AMS1117‐1.2V稳压,提供FPGA的内核电

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 农林牧渔 > 林学

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2