课程设计说明书密码锁Word文档下载推荐.docx

上传人:b****2 文档编号:845341 上传时间:2023-04-29 格式:DOCX 页数:16 大小:312.27KB
下载 相关 举报
课程设计说明书密码锁Word文档下载推荐.docx_第1页
第1页 / 共16页
课程设计说明书密码锁Word文档下载推荐.docx_第2页
第2页 / 共16页
课程设计说明书密码锁Word文档下载推荐.docx_第3页
第3页 / 共16页
课程设计说明书密码锁Word文档下载推荐.docx_第4页
第4页 / 共16页
课程设计说明书密码锁Word文档下载推荐.docx_第5页
第5页 / 共16页
课程设计说明书密码锁Word文档下载推荐.docx_第6页
第6页 / 共16页
课程设计说明书密码锁Word文档下载推荐.docx_第7页
第7页 / 共16页
课程设计说明书密码锁Word文档下载推荐.docx_第8页
第8页 / 共16页
课程设计说明书密码锁Word文档下载推荐.docx_第9页
第9页 / 共16页
课程设计说明书密码锁Word文档下载推荐.docx_第10页
第10页 / 共16页
课程设计说明书密码锁Word文档下载推荐.docx_第11页
第11页 / 共16页
课程设计说明书密码锁Word文档下载推荐.docx_第12页
第12页 / 共16页
课程设计说明书密码锁Word文档下载推荐.docx_第13页
第13页 / 共16页
课程设计说明书密码锁Word文档下载推荐.docx_第14页
第14页 / 共16页
课程设计说明书密码锁Word文档下载推荐.docx_第15页
第15页 / 共16页
课程设计说明书密码锁Word文档下载推荐.docx_第16页
第16页 / 共16页
亲,该文档总共16页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

课程设计说明书密码锁Word文档下载推荐.docx

《课程设计说明书密码锁Word文档下载推荐.docx》由会员分享,可在线阅读,更多相关《课程设计说明书密码锁Word文档下载推荐.docx(16页珍藏版)》请在冰点文库上搜索。

课程设计说明书密码锁Word文档下载推荐.docx

●设计3位密码锁,用一个开关表示设密码/开锁

●当密码输入正确时,指示灯亮,表示开锁成功

●当密码输入错误时,蜂鸣器响5秒时,表示开锁失败

●密码可以任意设置,且在数码管上显示

●用拨码开关输入密码(4位拨码开关表示一位数)

●在数码管上显示输入的密码

●用蜂鸣器发出蜂鸣

●学会使用Max+PlusII软件和实验箱;

●独立完成电路设计,编程下载、连接电路和调试;

●参加答辩并书写任务书。

1.了解EDA的基本知识,学习使用软件Max+PlusII,下发任务书,开始电路设计;

2.学习使用实验箱,继续电路设计;

3.完成电路设计;

4.编程下载、连接电路、调试和验收;

5.答辩并书写任务书。

《数字电子技术基础》.常丹华主编.电子工业出版社.2011年

《EDA课程设计指导书》.张强主编.2013年

指导教师签字

基层教学单位主任签字

李鑫滨

说明:

此表一式四份,学生、指导教师、基层教学单位、系部各一份。

年月日

目录

第一章摘要4

第二章设计原理5

2.1EDA简介5

2.2总体设计思路5

第三章模块设计及波形仿真6

3.1锁存器模块6

3.1.1锁存器模块电路图6

3.1.2锁存器模块波形图7

3.2设置密码/开锁模块7

3.2.1设置密码/开锁模块电路图8

3.2.2设置密码/开锁模块波形图9

3.3蜂鸣器模块9

3.3.1蜂鸣器简介9

3.3.2蜂鸣器模块电路图10

3.3.23.3.3蜂鸣器模块波形图10

第四章总电路图及波形仿真11

4.1总电路图分析11

4.2总电路图仿真12

第五章真值表13

5.1十进制计数器74160真值表13

5.2数据比较器7485真值表13

5.3总电路部分真值表14

第六章管脚锁定及硬件连接15

第七章心得体会16

参考文献17

附:

燕山大学课程设计评审意见表

第一章摘要

随着科学技术的发展,我们的生活越来越好。

而数字电路产品以其独特的优越性走进我们的生活,为我们的生活带来方便。

,包括计算机、电子表、智能仪器表及其它很多领域中,它给我们带来的不仅是工作上的方便,而且也给我们的生活娱乐添滋加彩。

数字电路主要是基于两个信号(我们可以简单的说是有电压和无电压),用数字信号完成对数字量进行算术运算和逻辑运算的电路我们称之为数字电路,它具有逻辑运算和逻辑处理等功能,数字电路可分为组合逻辑电路和时序逻辑电路。

在这次EDA课程设计中,我的题目是密码锁。

报告从电路原理着手,首先介绍一下我的设计思路,其次详细介绍其各个模块以及工作原理,然后是用MAX+PLUS

设计的电路模块,仿真结果及真值表。

最后是此次设计的心得体会和参考书。

第二章设计原理

2.1EDA简介

EDA(ElectronicsDesignAutomation)技术是随着集成电路和计算机技术的飞速发展应运而生的一种高级、快速、有效的电子设计自动化工具。

它是为解决自动控制系统设计而提出的,从70年代经历了计算机辅助设计(CAD),计算机辅助工程(CAE),电子系统设计自动化(ESDA)3个阶段。

前两个阶段的EDA产品都只是个别或部分的解决了电子产品设计中的工程问题;

第三代EDA工具根据工程设计中的瓶颈和矛盾对设计数据库实现了统一管理,并提出了并行设计环境概念,提供了独立于工艺和厂家的系统级的设计工具。

EDA关键技术之一就是采用硬件描述语言对硬件电路进行描述,且具有系统级仿真和综合能力。

2.2总体设计思路

本设计名称为密码锁,设计分为三部分:

设置密码模块、开锁模块、蜂鸣器模块。

通过这三个部分来实现密码锁的功能。

设计所要实现的功能为:

1、手动用12个拨码开关设置三位密码(0-9)。

2、输入密码开锁,当密码输入正确时,指示灯亮,表示开锁成功。

3、当密码输入错误时,蜂鸣器响三秒,表示开锁失败。

设计的主要思路为:

本设计中首先用拨码开关设计密码,此时的密码通过数码管显示,用总开关EN切换设置密码和开锁。

当打开总开关EN时,进入设置密码状态;

设置好密码后关闭EN,进入开锁状态,此时指示灯处于熄灭状态。

再输入三位数字进行开琐,输入完毕后打开拨码开关ENTER,此时如果输入的密码正确则指示灯亮,表示开琐成功;

否则蜂鸣器发出响声,并持续5秒钟,表示开锁失败。

之后关闭开关ENTER,重新输入密码后并打开开关ENTER可再次验证。

第三章模块设计及波形仿真

3.1锁存器模块

在密码锁设计中,我采用十二个D触发器来实现对设计的密码的锁存,当使能端EN为高电平时输入设计的密码,设计好后将使能端EN置为低电平,此时密码被保存在触发器中用来跟再次输入的密码比较。

3.1.1锁存器模块电路图

在电路中我将四个触发器封装成一个整体,实现对一位密码(四位二进制数)的锁存,其电路及封装图如下:

图3-1锁存器模块电路图

图3-2锁存器模块封装图

3.1.2锁存器模块波形图

当EN端为高电平输入数据,低电平时锁存。

如图可得到数据的锁存。

图3-3锁存器波形仿真图

3.2设置密码/开锁模块

对于设计密码开锁模块,我首先用一个总开关EN来控制输密码和开锁,当总开关为高电平时,使锁存器的使能端为高电平,开始设计密码,且设计的密码用数码管显示。

设计完成后将总开关为低电平,即设计好初始密码。

然后再用拨码开关输入密码,将输入的密码与锁存器中储存的密码比较,同时在数码管上显示。

若比较的结果为相同,则比较器的AEBO端输出高电平,否则该端输出低电平。

3.2.1设置密码/开锁模块电路图

图3-4设置密码/开锁模块电路图

为简化电路,我将上述模块电路封装如下:

图3-5设置密码/开锁模块封装图

3.2.2设置密码/开锁模块波形图

图3-6设置密码/开锁模块波形图

由以上波形图可知,当EN端为1时,输入的三位密码A,B,C分别为2,3,4,当EN端为0时,开始开锁,当输入密码错误时,如0,0,0或2,4,4,比较器的输出OUT为0,表示输入的密码与初始设定密码不同。

仅当输入密码为2,3,4时,比较器的输出OUT为1,表示输入密码与初始密码相同,即开锁成功;

同时三位数码管L0,L1,L2一直跟踪显示输入的密码。

3.3蜂鸣器模块

3.3.1蜂鸣器简介

蜂鸣器是一种一体化结构的电子讯响器,采用直流电压供电,广泛应用于计算机、打印机、复印机、报警器、电子玩具、汽车电子设备、电话机、定时器等电子产品中作发声器件。

蜂鸣器主要分为压电式蜂鸣器和电磁式蜂鸣器两种类型。

本设计所使用的蜂鸣器模块包含1个蜂鸣器BUZZER和一个跳线器JBUZZER。

当使用蜂鸣器时,此跳线器短接。

同时独立扩展下载板CPLD/FPZA的JP2_CF的SPEAKER接高电平时,蜂鸣器工作。

图3-6蜂鸣器模块

图3-7蜂鸣器原理结构

3.3.2蜂鸣器模块电路图

图3-8蜂鸣器模块电路图

3.3.3蜂鸣器模块波形图

图3-8蜂鸣器模块波形图

由上图可知,当使能端EN为高电平时或由设置密码/开锁模块输入的IN端为高电平时,蜂鸣器不工作;

仅当使能端EN置为0(开始比较密码状态),且IN端输入为低电平时,蜂鸣器工作,响五秒钟后自动停止。

第四章总电路图及波形仿真

4.1总电路图分析

图4-1密码锁总电路图

以上是我设计的密码锁的总电路图,我将锁存、加锁、开锁、蜂鸣器等复杂的电路封装成一个模块,使电路简单易读,并分别对模块进行独立测试,提高了电路设计的质量。

当发现问题或需要用于其它设计中时,我仅需对模块部分进行修改,不仅省时省力,还避免了重复设计可能引入的差错。

此外,我还增设了一个确认键“ENTER”。

在开锁状态下,当密码输入完毕后,打开ENTER开关,使该端口输出高电平,此时指示灯或蜂鸣器才能正常工作。

该开关的引入使题目的要求更易观察和验证,同时使设计更加人性化。

4.2总电路图仿真

图4-2密码锁总波形图

由以上波形图可知,当EN端为1时,输入的三位密码NUM0,NUM1,NUM2分别为5,5,5,此时指示灯LIGHT和蜂鸣器BEEP均为不工作;

当EN端为0时,进入开锁状态,当输入的密码为3,4,2并打开确认键ENTER后,指示灯不亮,蜂鸣器发声,并响在5秒后停止,表示密码错误;

此时拉下ENTER键并重新输入密码5,5,5,再次打开ENTER键后指示灯发亮,蜂鸣器不工作,表示开锁成功,即输入的密码正确。

与此同时,三位数码管L0,L1,L2一直跟踪实时显示输入的密码。

第五章真值表

5.1十进制计数器74160真值表

表5-1十进制计数器74160真值表

5.2数据比较器7485真值表

A

B

ALBO

AEBO

AGB0

0000

1000

1

0001

0010

0011

0100

0101

0110

0111

1001

1010

1011

1100

1101

1110

1111

表5-2数据比较器7485真值表

由真值表可知,当两个输入量A<B时,ALBO端输出高电平,AEBO和AGBO端输出低电平;

当两个输入量A>B时,AGBO端输出高电平,AEBO和ALBO端输出低电平;

仅当两个数值相等A=B时,AEBO端才能输出为高电平。

因此我们可以利用AEBO端判断两个输入量是否相等,即输入的密码正确时AEBO端输出高电平,否则输出低电平为。

5.3

总电路部分真值表

表5-3总电路部分真值表

此上表可知,当ENTER键打开,且输入的密码与设定密码相同时,指示灯亮,密码不同时,蜂鸣器响;

当ENTER键关闭时,无论输入的密码与设定密码是否相同,蜂鸣器与指示灯均布工作。

第六章管脚锁定及硬件连接

引脚符号

锁定

NUM0_0

PIN39

L0_0

PIN136

NUM0_1

PIN40

L0_1

PIN135

NUM0_2

PIN41

L0_2

PIN134

NUM0_3

PIN44

L0_3

PIN133

NUM1_0

PIN45

L1_0

PIN132

NUM1_1

PIN46

L1_1

PIN131

NUM1_2

PIN47

L1_2

PIN128

NUM1_3

PIN53

L1_3

PIN127

NUM2_0

PIN68

L2_0

PIN142

NUM2_1

PIN69

L2_1

PIN141

NUM2_2

PIN70

L2_2

PIN140

NUM2_3

PIN71

L2_3

PIN139

EN

PIN73

LIGHT

PIN12

CLK

PIN173

BEEP

PIN38

ENTER

PIN74

W0

PIN94

W1

PIN95

W2

PIN96

试验箱上一共有7根线,将PIN68、PIN69、PIN70、PIN71、PIN73、PIN74分别与外接的六个拨码开关相连。

PIN173与1HZ脉冲相连。

在经过前面几个阶段的设计与验证后,密码管的各个模块已经设计完毕,根据总体设计时的方案框图,将各个子电路组合起来,并且为各个输入和输出选择合适的管脚(如上所示)。

将1Hz的时钟信号加到计时器clk信号上,开关EN控制使能端,开关ENTER为确认键用于确认密码是否输入完毕。

经测试,电路可完美正常工作,可以设置密码、开锁,相关指示模块亦能正常指示密码输入是否正确,实现了任务书所要求的全部工作;

同时,新加入的ENTER键能完美决定输入密码过程的完成与否,即:

仅当确认件ENTER按下后,才判断输入的密码是否正确并作出相关指示。

第七章心得体会

在紧张与忙碌中,一周的EDA课程设计就要结束了,通过这次设计,使我对EDA产生了浓厚的兴趣。

特别是当每一个子模块编写调试成功时,心里特别的开心。

这次课设给了我一次自己编写电路自己上箱实验的机会使我可以把理论知识应用于实践。

在编写蜂鸣器模块时,我遇到了很大的困难,一直被定时问题所困扰,解决了这个问题时,我特别的高兴。

通过这次设计,是我充分意识到自己在数字电子技术上的不足,对以前学习的知识有了深层次的理解。

在本次EDA课程设计的五天日子里,可以说是苦多于甜,但是我学到很多很多的东西,不仅可以巩固之前在课堂上所学到的知识,还锻炼了我的动手实践能力,这些是我们在课堂上学不到的。

总的来说,这次设计的密码锁比较成功,在设计中遇到了一些问题,但通过查阅资料和老师的帮助,最终都得到了解决,不但完成了题目要求的全部功能,还通过自己的思考新加了一个“确认”功能,因此很有成就感,终于觉得平时所学的知识有了实用的价值,达到了理论与实际相结合的目的。

参考文献

1】常丹华.数字电子技术基础.电子工业出版社,2011年

2】张强.EDA课程设计指导书,2013年

指导教师评语:

①该生学习态度(认真较认真不认真)

②该生迟到、早退现象(有无)

③该生依赖他人进行设计情况(有无)

平时成绩:

指导教师签字:

2013年12月20日

图面及其它成绩:

答辩小组评语:

①设计巧妙,实现设计要求,并有所创新。

②设计合理,实现设计要求。

③实现了大部分设计要求。

④没有完成设计要求,或者只实现了一小部分的设计要求。

答辩成绩:

组长签字:

课程设计综合成绩:

答辩小组成员签字:

2013年12月20日

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 党团工作 > 入党转正申请

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2