数字逻辑系统课程设计洗衣机控制器Word格式文档下载.docx

上传人:b****5 文档编号:8460775 上传时间:2023-05-11 格式:DOCX 页数:15 大小:168.25KB
下载 相关 举报
数字逻辑系统课程设计洗衣机控制器Word格式文档下载.docx_第1页
第1页 / 共15页
数字逻辑系统课程设计洗衣机控制器Word格式文档下载.docx_第2页
第2页 / 共15页
数字逻辑系统课程设计洗衣机控制器Word格式文档下载.docx_第3页
第3页 / 共15页
数字逻辑系统课程设计洗衣机控制器Word格式文档下载.docx_第4页
第4页 / 共15页
数字逻辑系统课程设计洗衣机控制器Word格式文档下载.docx_第5页
第5页 / 共15页
数字逻辑系统课程设计洗衣机控制器Word格式文档下载.docx_第6页
第6页 / 共15页
数字逻辑系统课程设计洗衣机控制器Word格式文档下载.docx_第7页
第7页 / 共15页
数字逻辑系统课程设计洗衣机控制器Word格式文档下载.docx_第8页
第8页 / 共15页
数字逻辑系统课程设计洗衣机控制器Word格式文档下载.docx_第9页
第9页 / 共15页
数字逻辑系统课程设计洗衣机控制器Word格式文档下载.docx_第10页
第10页 / 共15页
数字逻辑系统课程设计洗衣机控制器Word格式文档下载.docx_第11页
第11页 / 共15页
数字逻辑系统课程设计洗衣机控制器Word格式文档下载.docx_第12页
第12页 / 共15页
数字逻辑系统课程设计洗衣机控制器Word格式文档下载.docx_第13页
第13页 / 共15页
数字逻辑系统课程设计洗衣机控制器Word格式文档下载.docx_第14页
第14页 / 共15页
数字逻辑系统课程设计洗衣机控制器Word格式文档下载.docx_第15页
第15页 / 共15页
亲,该文档总共15页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

数字逻辑系统课程设计洗衣机控制器Word格式文档下载.docx

《数字逻辑系统课程设计洗衣机控制器Word格式文档下载.docx》由会员分享,可在线阅读,更多相关《数字逻辑系统课程设计洗衣机控制器Word格式文档下载.docx(15页珍藏版)》请在冰点文库上搜索。

数字逻辑系统课程设计洗衣机控制器Word格式文档下载.docx

包括定时输入模块、电机时间控制模块、倒计时模块、电机状态控制模块、数码管显示模块、报警模块

模块方框

原理图

原理图解析

首先,是有五个输入的。

shu和hshu是设置时间的,pin—name18是相当于是允许输入的,time—miao是脉冲的设置,而另一个开关kaiguan就是本设计的开关。

输出也是有五个的。

Gewei和shiwei是一个数码管显示时间的十位,一个数码管显示个位。

虽然本次的设计只有5s和10s两种显示的时间,也用两个数码管来显示时间。

Deng是显示是正转、反转、待机的状态,dianji是显示当下处于什么状态的(00代表正转,01代表待机,11代表反转)。

Ct用来检测是否时间达到零,达到零则为1,否则则为0。

对于shuru这个模块来说,dout和dout1的输出是由shu和hshu的上升沿来控制的,同时dout和dout1又是hui和hui1的一个输入。

而washmachine的输入是一个脉冲,输出c和d又分别是hui和dianji的输入。

而kaiguan是hui和hui1的输入,控制倒计时的模块,用来随时停止计时。

引脚图:

第二章设计的分立模块解析

讲解的依次是定时输入模块、电机时间控制模块、倒计时模块、电机状态控制模块、数码管显示模块。

以及顺带介绍一下要事先报警应如何操作。

2、1定时输入模块

此模块是为了实现洗衣机能够定时输入的功能,保证洗衣机能够正常运行。

当din为1的时候是无效时刻,而且shu是上升沿时dout加1,hshu是上升沿时dout1加1。

2、1、1定时输入模块源代码讲解

首先,是定义输入输出量,有三个输入量,shu和hshu以及din,输出量有两个,分别是dout和dout1,信号量有两个,分别是count和count1。

信号量在在源代码中充当中间量。

最后是要把count和count1分别赋值给dout和dout1的。

输入量shu和hshu分别决定count和count1,当shu和hshu是上升沿时,count和count1加1,count是从0到9,接着又是到0开始循环,而count1是从0到6,接着是到0继续循环。

不过,当din为1时count和count1都是“1111”,也就是说,当din为1时相当于阻止此模块运行。

2、1、2定时输入模块框图

2、2洗衣机时间控制模块

此模块由一个累加器和一个命令控制器组成,用来实现预置洗涤时间的功能,洗涤时间以分钟数为单位,用户可根据自己的需求来设定洗涤时间的长短。

一开始是想说,在时间设置模块设置15次的循环时间,这样也就避免让时间设置方面那样麻烦,不过,此次的源代码是将此模块算在当中的。

2、2、1洗衣机时间控制模块源代码讲解

首先来说,就是此模块的输入输出量都是很简单的,有一个输入,两个输出,clk输入,也即脉冲输入,d和c输出。

信号量count2(有三位)和shi。

而clk是上升沿时shi则加1,shi是从0到60的,当shi为60时,c为1,否则,c则为0.count2也是从0到5的,当count2为5时,d为1,否则d则为0.

2、2、2洗衣机时间控制模块框图

2、3倒计时模块

由于洗衣机有工作时间,必须要一模块来控制它的工作时间范围,当洗衣机开始工作后,减法计数器即会实现减数功能,直到时间减到零,洗衣机便停止工作。

2、3、1倒计时模块源代码讲解

首先此模块是有两部分的,有十位的还有个位的表示。

对于这两部分,模式基本一致。

输入量有三个,jian、reset和din[3,0],din[3,0]为定时输入模块的输出dout[3,0]。

信号量有count[3,0]。

Jian就是控制倒计时的量,当jian的上升沿到来,则count[3,0]开始减1,count[3,0]从9开始减到0,然后再循环,不过这是对于个位输入来说,对于十位来说,则不用考虑。

Reset是有开关来控制的。

当reset为1时,此模块是不能工作的,就是复位。

2、3、2倒计时模块框图

2、4洗衣机状态控制模块

此模块是为了实现能够控制洗衣机电机时间达到正转、反转、待机的功能。

让观看者在外部能够观察到洗衣机当下正处于什么状态,而且,此外还有灯的部分,所以,通过灯也可以辨认出洗衣机的工作状态。

2、4、1洗衣机状态控制模块源代码讲解

此模块的输入量只有一个,就是cc,输出量有两个,dd和dneg,信号量为count、dian和deng1,dd=dian,而deng=deng1。

当cc的上升沿到来时,count则加1,count是从0到5循环的。

Count的变化也就是会决定待机、正转、反转的时间,dian的值则是代表待机、正转、反转的,01代表正转,此时deng为100,也即亮灯表示正转;

00代表待机,此时deng为010,也即亮灯代表待机;

11代表反转,此时deng为001,也即亮灯代表反转。

2、4、2洗衣机状态控制模块框图

2、5数码管显示模块

根据课程设计要求,必须将洗衣机的工作状态及工作时间在数码管和指示灯上显示出来,此模块是用来控制洗衣机的工作状态及工作的频率,并把工作状态及工作时间显示出来。

2、5、1数码管显示模块源代码讲解

对于此模块没有很多该讲的地方,它就是,一个输入,一个输出,输入是由倒计时模块的输出来充当数码管模块的输入的。

输出直接接到数码管上显示。

此次用的是七个引脚的数码管。

而且有两个数码管。

一个显示十位,一个显示个位。

对于数码管的编码,是按照数码管显示的规律来实现的。

2、5、2数码管显示模块框图

2、6报警模块

当洗涤时间结束时,洗衣机就会自动发出警报声,以此提醒用户洗涤工作完成,此模块就是实现此功能。

2、6、1报警模块源代码讲解

此模块有两个输入,一个输出,输入是脉冲和一个量。

当finishc按下时,也就是提吃报警,然后就响应报警,接着,输出warning就连接到clk,也就是脉冲上面,主要提出了报警,则只要此时clk还在输入,那就会驱动蜂鸣器来发出警报声,此时也就实现了报警。

当没有警报时,也即洗衣机正常工作的情况,warning就为0,也就不会驱动蜂鸣器,也不会报警。

报警模块就是这样实现的。

不过,此次的设计并没有将蜂鸣器考虑在内,而且,总体的程序也没有将报警模块加入,只是最后陈述一下该如何实现报警。

小结

此次的课程设计是做简易洗衣机控制器,对于此次的设计我不能说完成得有多好,只能说也算是尽力了。

对于一些功能的实现,也完成得不是很好,虽然说如果能顺利实现的话是基本可以达到目标,但是把程序,也就是源代码输入到QuartusII后发现在软件上不能编译,经过一系列的检查,我本人认为是选择芯片上出现得问题最大,所以此次的源代码基本上在软件上不能实现。

不过,虽然此次的设计把仿真图和结果附上,是借鉴了别的论文的一些资料。

对于此次的课程设计,我最大的收获就是能够基本理解那些源代码的意思,至少那些源代码我都能看懂,而且我还对于那些源代码我都有认真地学习以及思考,我对于这次的课程设计我是报以很认真的态度。

而且,就是对于那款软件我都有尽我所能地去学习,包括查资料,看视频来学习。

对于我的课程设计结果,不能说是很好,但是我认为只要认真地学习了就不会辜负这次难得的机会,这个学期学习EDA技术及其运用,我虽说不是掌握得很好,但是我有学会关于它的基本知识,对于硬件描述语言VHDL语言也有所掌握,加上这次的课程设计所用的就是VHDL语言,就对VHDL语言更进一步的了解。

总的来说,此次的课程设计完成算是还行的,而且也基本懂得了这次的课程设计的意义,也收获了不少,不仅是理论知识的长进,而且对于将理论联系实际的了解也更深刻了。

通过这次课程设计,自己也懂得了不少。

也给自己提了个醒,以后的学业路上也要更加努力,只要真的去努力做一件事情,才会有所收获。

附录1定时输入模块源代码

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

USEIEEE.STD_LOGIC_ARITH.ALL;

USEIEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITYshuruIS

PORT(shu,hshu,din:

INSTD_LOGIC;

dout:

OUTSTD_LOGIC_VECTOR(3DOWNTO0);

dout1:

OUTSTD_LOGIC_VECTOR(3DOWNTO0));

ENDshuru;

ARCHITECTUREbehaveOFshuruIS

SIGNALcount,count1:

STD_LOGIC_VECTOR(3DOWNTO0);

BEGIN

PROCESS(shu,hshu,din)

dout<

=count;

dout1<

=count1;

IFdin='

1'

THEN

="

1111"

;

dout1<

ELSIFRISING_EDGE(shu)THEN

IFcount="

1001"

count<

0000"

ELSE

=count+1;

ENDIF;

IFRISING_EDGE(hshu)THEN

IFcount1="

0110"

count1<

=count1+1;

ENDPROCESS;

ENDbehave;

附录2洗衣机时间控制模块源代码

ENTITYwashmachineIS

PORT(clk:

c:

OUTSTD_LOGIC;

d:

OUTSTD_LOGIC

);

ENDwashmachine;

ARCHITECTUREmiao20OFwashmachineIS

SIGNALcount2:

STD_LOGIC_VECTOR(2DOWNTO0);

SIGNALshi:

INTEGERRANGE0TO60;

BEGINPROCESS(clk)

BEGIN

IFRISING_EDGE(clk)THEN

IFshi=60THEN

shi<

=0;

c<

='

ELSEshi<

=shi+1;

0'

IFcount2="

101"

Count2<

000"

d<

else

=count2+1;

ENDmiao20;

附录3倒计时模块模块源代码

ENTITYhuiIS

PORT(jian1:

reset1:

din1:

INSTD_LOGIC_VECTOR(3DOWNTO0);

c1:

OUTSTD_LOGIC

ENDhui;

ARCHITECTUREbehaveOFhuiIS

SIGNALcount3:

STD_LOGIC_VECTOR(3DOWNTO0);

=count3;

PROCESS(jian1,reset1,din1)

IFreset1='

count3<

=din1;

c1<

ELSIFRISING_EDGE(jian1)THEN

IFcount3="

count3<

="

c1<

ELSE

=count3-1;

ENTITYhui1IS

PORT(jian2:

Reset2:

din2:

dout2:

c2:

ENDhui1;

ARCHITECTUREbehaveOFhui1IS

SIGNALcount4:

dout2<

=count4;

PROCESS(jian2,reset2,din2)

IFreset2='

count4<

=din2;

c2<

ELSIFRISING_EDGE(jian2)THEN

IFcount4="

count4<

c2<

=count4-1;

附录4洗衣机状态控制模块源代码

ENTITYdianjiIS

PORT(cc:

inSTD_LOGIC;

dd:

OUTSTD_LOGIC_VECTOR(1DOWNTO0);

deng:

OUTSTD_LOGIC_VECTOR(2DOWNTO0)

ENDdianji;

ARCHITECTUREbehaveOFdianjiIS

SIGNALcount5:

SIGNALdian:

STD_LOGIC_VECTOR(1DOWNTO0);

SIGNALdeng1:

BEGIN

dd<

=dian;

deng<

=deng1;

process(cc)

IFRISING_EDGE(cc)ThEN

IFcount5="

Count5<

count5<

=count5+1;

IFcount5="

dian<

01"

deng1<

100"

ELSIFcount5="

010"

00"

011"

11"

;

001"

附录5数码管显示模块源代码

ENTITYshumaIS

PORT(CLK_2KHz:

dout1,dout2:

INSTD_LOGIC_VECTOR(3DOWNTO0);

SEG:

OUTSTD_LOGIC_VECTOR(7DOWNTO0);

DIG:

OUTSTD_LOGIC_VECTOR(7DOWNTO0));

ENDshuma;

ARCHITECTUREbhvOFshumaIS

SIGNALCOUNT:

STD_LOGIC_VECTOR(2DOWNTO0);

SIGNALSEL:

STD_LOGIC_VECTOR(3DOWNTO0);

SIGNALDATA:

STD_LOGIC_VECTOR(7DOWNTO0);

DATA<

=dout2&

dout1;

P0:

PROCESS(CLK_2KHz)

IFRISING_EDGE(CLK_2KHz)THEN

IFCOUNT="

THENCOUNT<

ELSECOUNT<

=COUNT+1;

ENDIF;

ENDPROCESSP0;

P1:

PROCESS(COUNT)

CASECOUNTIS

WHEN"

=>

SEL<

=DATA(7DOWNTO4);

DIG<

=X"

FD"

=DATA(3DOWNTO0);

FE"

WHENOTHERS=>

NULL;

ENDCASE;

ENDPROCESSP1;

P2:

PROCESS(SEL)

CASESELIS

SEG<

c0"

--显示0

0001"

f9"

--显示1

0010"

a4"

--显示2

0011"

b0"

--显示3

0100"

99"

--显示4

0101"

92"

--显示5

82"

--显示6

0111"

f8"

--显示7

1000"

80"

--显示8

90"

--显示9

WHENOTHERS=>

FF"

--不显示

ENDCASE;

ENDPROCESSP2;

ENDbhv;

附录6报警模块源代码

ENTITYwamingIS

port(clk:

INSTD_LOGIC

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 自然科学 > 物理

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2