一位全减器的设计Word格式文档下载.docx

上传人:wj 文档编号:8461473 上传时间:2023-05-11 格式:DOCX 页数:6 大小:394.64KB
下载 相关 举报
一位全减器的设计Word格式文档下载.docx_第1页
第1页 / 共6页
一位全减器的设计Word格式文档下载.docx_第2页
第2页 / 共6页
一位全减器的设计Word格式文档下载.docx_第3页
第3页 / 共6页
一位全减器的设计Word格式文档下载.docx_第4页
第4页 / 共6页
一位全减器的设计Word格式文档下载.docx_第5页
第5页 / 共6页
一位全减器的设计Word格式文档下载.docx_第6页
第6页 / 共6页
亲,该文档总共6页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

一位全减器的设计Word格式文档下载.docx

《一位全减器的设计Word格式文档下载.docx》由会员分享,可在线阅读,更多相关《一位全减器的设计Word格式文档下载.docx(6页珍藏版)》请在冰点文库上搜索。

一位全减器的设计Word格式文档下载.docx

院系:

专业:

电子信息工程

组员:

设计流程:

一、一位半减器的设计

一位半减器程序如下;

其中x,y是输入,diff是输出差,s_out是借位输出,sub_in为借位输入。

libraryieee;

useieee.std_logic_1164.all;

entityh_suberis

port(x,y:

instd_logic;

diff,s_out:

outstd_logic);

endh_suber;

architecturehdlarchofh_suberis

begin

process(x,y)

begin

diff<

=xxory;

s_out<

=(notx)andy;

endprocess;

endhdlarch;

半减器逻辑表达式:

半减器真值表:

输入

输出

x

y

diff

s_sout

1

半减器波形图如下:

半减器封装图:

二、一位全减器的设计

一位全减器设计结构图:

sub_in为借位输入,clk是输入延迟信号用来消除仿真图中出现的毛刺现象

一位全减器逻辑表达式:

一位全减器真值表:

sub_in

differ

sub_out

一位全减器波形图:

一位全减器封装图:

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 高等教育 > 军事

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2