MIPS单周期CPU实验报告Word文档格式.docx

上传人:b****6 文档编号:8683616 上传时间:2023-05-12 格式:DOCX 页数:34 大小:749.99KB
下载 相关 举报
MIPS单周期CPU实验报告Word文档格式.docx_第1页
第1页 / 共34页
MIPS单周期CPU实验报告Word文档格式.docx_第2页
第2页 / 共34页
MIPS单周期CPU实验报告Word文档格式.docx_第3页
第3页 / 共34页
MIPS单周期CPU实验报告Word文档格式.docx_第4页
第4页 / 共34页
MIPS单周期CPU实验报告Word文档格式.docx_第5页
第5页 / 共34页
MIPS单周期CPU实验报告Word文档格式.docx_第6页
第6页 / 共34页
MIPS单周期CPU实验报告Word文档格式.docx_第7页
第7页 / 共34页
MIPS单周期CPU实验报告Word文档格式.docx_第8页
第8页 / 共34页
MIPS单周期CPU实验报告Word文档格式.docx_第9页
第9页 / 共34页
MIPS单周期CPU实验报告Word文档格式.docx_第10页
第10页 / 共34页
MIPS单周期CPU实验报告Word文档格式.docx_第11页
第11页 / 共34页
MIPS单周期CPU实验报告Word文档格式.docx_第12页
第12页 / 共34页
MIPS单周期CPU实验报告Word文档格式.docx_第13页
第13页 / 共34页
MIPS单周期CPU实验报告Word文档格式.docx_第14页
第14页 / 共34页
MIPS单周期CPU实验报告Word文档格式.docx_第15页
第15页 / 共34页
MIPS单周期CPU实验报告Word文档格式.docx_第16页
第16页 / 共34页
MIPS单周期CPU实验报告Word文档格式.docx_第17页
第17页 / 共34页
MIPS单周期CPU实验报告Word文档格式.docx_第18页
第18页 / 共34页
MIPS单周期CPU实验报告Word文档格式.docx_第19页
第19页 / 共34页
MIPS单周期CPU实验报告Word文档格式.docx_第20页
第20页 / 共34页
亲,该文档总共34页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

MIPS单周期CPU实验报告Word文档格式.docx

《MIPS单周期CPU实验报告Word文档格式.docx》由会员分享,可在线阅读,更多相关《MIPS单周期CPU实验报告Word文档格式.docx(34页珍藏版)》请在冰点文库上搜索。

MIPS单周期CPU实验报告Word文档格式.docx

rd←rs-rt

逻辑运算指令

(4)orirt,rs,immediate

010000

rt←rs|(zero-extend)immediate;

immediate做“0”扩展再参加“或”运算。

(5)andrd,rs,rt

010001

rd←rs&

rt;

逻辑与运算。

(6)orrd,rs,rt

010010

rd←rs|rt;

逻辑或运算。

移位指令

(7)sllrd,rt,sa

011000

未用

sa

rd<

-rt<

<

(zero-extend)sa,左移sa位,(zero-extend)sa

比较指令

(8)sltrd,rs,rt带符号数

011100

if(rs<

rt)rd=1elserd=0,具体请看表2ALU运算功能表,带符号

存储器读/写指令

(9)swrt,immediate(rs)写存储器

100110

memory[rs+(sign-extend)immediate]←rt;

immediate符号扩展再相加。

即将rt寄存器的内容保存到rs寄存器内容和立即数符号扩展后的数相加作为地址的内存单元中。

(10)lwrt,immediate(rs)读存储器

100111

rt←memory[rs+(sign-extend)immediate];

即读取rs寄存器内容和立即数符号扩展后的数相加作为地址的内存单元中的数,然后保存到rt寄存器中。

分支指令

(11)beqrs,rt,immediate

110000

if(rs=rt)pc←pc+4+(sign-extend)immediate<

2elsepc←pc+4

特别说明:

immediate是从PC+4地址开始和转移到的指令之间指令条数。

immediate符号扩展之后左移2位再相加。

为什么要左移2位?

由于跳转到的指令地址肯定是4的倍数(每条指令占4个字节),最低两位是“00”,因此将immediate放进指令码中的时候,是右移了2位的,也就是以上说的“指令之间指令条数”。

12)bners,rt,immediate

110001

immediate

if(rs!

=rt)pc←pc+4+(sign-extend)immediate<

与beq不同点是,不等时转移,相等时顺序执行。

(13)bgtzrs,immediate

110010

00000

if(rs>

0)pc←pc+4+(sign-extend)immediate<

跳转指令

(14)jaddr

111000

addr[27..2]

停机指令

(15)halt

111111

00000000000000000000000000(26位)

停机;

不改变PC的值,PC保持不变。

三.实验原理

1.时间周期:

单周期CPU指的是一条指令的执行在一个时钟周期内完成,然后开始下一条指令的执行,即一条指令用一个时钟周期完成。

电平从低到高变化的瞬间称为时钟上升沿,两个相邻时钟上升沿之间的时间间隔称为一个时钟周期。

时钟周期一般也称振荡周期(如果晶振的输出没有经过分频就直接作为CPU的工作时钟,则时钟周期就等于振荡周期。

若振荡周期经二分频后形成时钟脉冲信号作为CPU的工作时钟,这样,时钟周期就是振荡周期的两倍。

CPU在处理指令时,一般需要经过以下几个步骤:

(1)取指令(IF):

根据程序计数器PC中的指令地址,从存储器中取出一条指令,同时,PC根据指令字长度自动递增产生下一条指令所需要的指令地址,但遇到“地址转移”指令时,则控制器把“转移地址”送入PC,当然得到的“地址”需要做些变换才送入PC。

(2)指令译码(ID):

对取指令操作中得到的指令进行分析并译码,确定这条指令需要完成的操作,从而产生相应的操作控制信号,用于驱动执行状态中的各种操作。

(3)指令执行(EXE):

根据指令译码得到的操作控制信号,具体地执行指令动作,然后转移到结果写回状态。

(4)存储器访问(MEM):

所有需要访问存储器的操作都将在这个步骤中执行,该步骤给出存储器的数据地址,把数据写入到存储器中数据地址所指定的存储单元或者从存储器中得到数据地址单元中的数据。

(5)结果写回(WB):

指令执行的结果或者访问存储器中得到的数据写回相应的目的寄存器中。

单周期CPU,是在一个时钟周期内完成这五个阶段的处理。

对于不同的指令,需要执行的步骤是不同的,其中取字指令(lw)需要执行全部五个步骤。

因此,CPU的时间周期由取字指令决定。

2.指令类型:

MIPS的三种指令类型:

其中,

op:

为操作码;

rs:

只读。

为第1个源操作数寄存器,寄存器地址(编号)是00000~11111,00~1F;

rt:

可读可写。

为第2个源操作数寄存器,或目的操作数寄存器,寄存器地址(同上);

rd:

只写。

为目的操作数寄存器,寄存器地址(同上);

sa:

为位移量(shiftamt),移位指令用于指定移多少位;

funct:

为功能码,在寄存器类型指令中(R类型)用来指定指令的功能与操作码配合使用;

immediate:

为16位立即数,用作无符号的逻辑操作数、有符号的算术操作数、数据加载(Load)/数据保存(Store)指令的数据地址字节偏移量和分支指令中相对程序计数器(PC)的有符号偏移量;

address:

为地址。

在本CPU设计中,由于指令的类型较少,所以所有指令均由操作码(op)确定。

在R型指令中,功能码(funct)为000000。

3.控制线路图与数据通路:

上图为CPU的数据通路和必要的控制线路图,其中Ins.Mem为指令存储器,Data.Mem为数据存储器。

访问存储器时,先给出内存地址,然后由读或写信号控制操作。

对于寄存器组,先给出寄存器地址,读操作时,输出端就直接输出相应数据;

而在写操作时,在WE使能信号为1,在时钟边沿触发将数据写入寄存器。

4.控制信号:

控制信号的作用

控制信号名

状态“0”

状态“1”

Reset

初始化PC为0

PC接收新地址

PCWre

PC不更改,相关指令:

halt

PC更改,相关指令:

除指令halt外

ALUSrcA

来自寄存器堆data1输出,相关指令:

add、sub、addi、or、and、ori、beq、bne、bgtz、slt、sw、lw

来自移位数sa,同时,进行(zero-extend)sa,即{{27{0}},sa},相关指令:

sll

ALUSrcB

来自寄存器堆data2输出,相关指令:

add、sub、or、and、sll、slt、beq、bne、bgtz

来自sign或zero扩展的立即数,相关指令:

addi、ori、sw、lw

DBDataSrc

来自ALU运算结果的输出,相关指令:

add、addi、sub、ori、or、and、slt、sll

来自数据存储器(DataMEM)的输出,相关指令:

lw

RegWre

无写寄存器组寄存器,相关指令:

beq、bne、bgtz、sw、halt、j

寄存器组写使能,相关指令:

add、addi、sub、ori、or、and、slt、sll、lw

InsMemRW

写指令存储器

读指令存储器(Ins.Data)

/RD

读数据存储器,相关指令:

输出高阻态

/WR

写数据存储器,相关指令:

sw

无操作

RegDst

写寄存器组寄存器的地址,来自rt字段,相关指令:

addi、ori、lw

写寄存器组寄存器的地址,来自rd字段,相关指令:

add、sub、and、or、slt、sll

ExtSel

(zero-extend)immediate(0扩展),相关指令:

ori

(sign-extend)immediate(符号扩展)

,相关指令:

addi、sw、lw、bne、bne、bgtz

PCSrc[1..0]

00:

pc<

-pc+4,相关指令:

add、addi、sub、or、ori、and、slt、

sll、sw、lw、beq(zero=0)、bne(zero=1)、bgtz(sign=1,或zero=1);

01:

-pc+4+(sign-extend)immediate,相关指令:

beq(zero=1)、

bne(zero=0)、bgtz(sign=0,zero=0);

10:

-{(pc+4)[31..28],addr[27..2],0,0},相关指令:

j;

11:

ALUOp[2..0]

ALU8种运算功能选择(000-111),看功能表

ALU功能表

功能

描述

000

Y=A+B

001

Y=A–B

010

Y=B<

A

B左移A位

011

Y=A∨B

100

Y=A∧B

101

Y=(A<

B)?

1:

0

比较A与B

不带符号

110

if(A<

B&

&

(A[31]==B[31]))

Y=1;

elseif(A[31]&

!

B[31)Y=1;

elseY=0;

带符号

111

Y=AÅ

B

异或

附:

本CPU的指令集并未用到ALU的全部功能。

5.主要模块接口说明:

InstructionMemory:

指令存储器,

address,指令存储器地址输入端口

DataIn,指令存储器数据输入端口(指令代码输入端口)

DataOut,指令存储器数据输出端口(指令代码输出端口)

InsMemRW,指令存储器读写控制信号,为0写,为1读

DataMemory:

数据存储器,

address,数据存储器地址输入端口

DataOut,数据存储器数据输出端口

/RD,数据存储器读控制信号,为0读

/WR,数据存储器写控制信号,为0写

RegisterFile:

寄存器组

ReadReg1,rs寄存器地址输入端口

ReadReg2,rt寄存器地址输入端口

WriteReg,将数据写入的寄存器端口,其地址来源rt或rd字段

WriteData,写入寄存器的数据输入端口

ReadData1,rs寄存器数据输出端口

ReadData2,rt寄存器数据输出端口

WE,写使能信号,为1时,在时钟边沿触发写入

RST,寄存器清零信号,为0时寄存器清零

ALU:

算术逻辑单元

result,ALU运算结果

zero,运算结果标志,结果为0,则zero=1;

否则zero=0

sign,运算结果标志,结果最高位为0,则sign=0,正数;

否则,sign=1,负数

四.实验器材

电脑一台,XilinxVivado软件一套,Basys3板一块。

五.实验过程与结果

1.各个指令对应的控制信号

指令

RD

WR

Add

1

X

Addi

Sub

Ori

And

Or

Sll

Slt

Sw

Lw

Beq

Bne

Bgtz

J

Halt

控制信号

ALUOp

XXX

除异或运算(111)外,ALU所有功能均被使用。

PCSrc

00

add、addi、sub、or、ori、and、slt、sll、sw、lw、beq(zero=0)、bne(zero=1)、bgtz(sign=1,或zero=1)

01

beq(zero=1)、bne(zero=0)、bgtz(sign=0,zero=0)

10

j

2.主要模块代码及仿真

(1)控制单元(controlunit)

Verilog代码:

1.module 

controlUnit( 

2. 

input 

[5:

0] 

opcode, 

3. 

zero, 

4. 

sign, 

5. 

output 

reg 

PCWre, 

6. 

ALUSrcA, 

7. 

ALUSrcB, 

8. 

DBDataSrc, 

9. 

RegWre, 

10. 

InsMemRW, 

11. 

RD, 

12. 

WR, 

13. 

RegDst, 

14. 

ExtSel, 

15. 

[1:

PCSrc, 

16. 

[2:

ALUOp 

17.);

18. 

initial 

begin 

19. 

RD 

1;

20. 

WR 

21. 

RegWre 

0;

22. 

InsMemRW 

23. 

end 

24. 

always@ 

(opcode) 

25. 

case(opcode) 

26. 

6'

b000000:

// 

add 

27. 

PCWre 

28. 

ALUSrcA 

29. 

ALUSrcB 

30. 

DBDataSrc 

31. 

32. 

33. 

34. 

35. 

RegDst 

36. 

3'

b000;

37. 

38. 

b000001:

//addi 

39. 

40. 

41. 

42. 

43. 

44. 

45. 

46. 

47. 

48. 

ExtSel 

49. 

50. 

51. 

b000010:

//sub 

52. 

53. 

54. 

55. 

56. 

57. 

58. 

59. 

60. 

61. 

b001;

62. 

63. 

b010000:

ori 

64. 

65. 

66. 

67. 

68. 

69. 

70. 

71. 

72. 

73. 

74. 

b011;

75. 

76. 

b010001:

//and 

77. 

78. 

79. 

80. 

81. 

82. 

83. 

84. 

85. 

86. 

b100;

87. 

88. 

b010010:

or 

89. 

90. 

91. 

92. 

93. 

94. 

95. 

96. 

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 求职职场 > 简历

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2