电子秒表的设计与制作(设计报告).doc

上传人:wj 文档编号:8779622 上传时间:2023-05-14 格式:DOC 页数:8 大小:403KB
下载 相关 举报
电子秒表的设计与制作(设计报告).doc_第1页
第1页 / 共8页
电子秒表的设计与制作(设计报告).doc_第2页
第2页 / 共8页
电子秒表的设计与制作(设计报告).doc_第3页
第3页 / 共8页
电子秒表的设计与制作(设计报告).doc_第4页
第4页 / 共8页
电子秒表的设计与制作(设计报告).doc_第5页
第5页 / 共8页
电子秒表的设计与制作(设计报告).doc_第6页
第6页 / 共8页
电子秒表的设计与制作(设计报告).doc_第7页
第7页 / 共8页
电子秒表的设计与制作(设计报告).doc_第8页
第8页 / 共8页
亲,该文档总共8页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

电子秒表的设计与制作(设计报告).doc

《电子秒表的设计与制作(设计报告).doc》由会员分享,可在线阅读,更多相关《电子秒表的设计与制作(设计报告).doc(8页珍藏版)》请在冰点文库上搜索。

电子秒表的设计与制作(设计报告).doc

电子技术课程设计报告书

课题名称

电子秒表的设计与制作

姓名

杨林

学号

2009021963

院、系、部

物理与电子科学系

专业

应用电子技术

指导教师

李志远

2011年10月10日

一、设计任务及要求:

设计任务:

用中小规模集成电路设计一个电子秒表。

要求:

1、能显示两位数10进制数,其计数范围00—99。

2、具有清零,预置数,停止等功能。

指导教师签名:

2011年月日

二、指导教师评语:

指导教师签名:

2011年月日

三、成绩

指导教师签名:

2011年月日

电子秒表的设计

1设计目的

(1)学习数字电路中基本RS触发器,单稳态触发器,时钟发生器及计数,译

码显示等单元电路的综合应用。

(2)学会利用集成与非门构成的基本RS触发器(低电平直接触发)实现电路的直接置位、复位功能。

(3)掌握各芯片的使用方法。

(4)了解电子秒表的组成及工作原理。

(5)熟悉电子秒表的设计与制作。

2设计思路

(1)设计RS触发器电路。

(2)设计预置时间的定时电路。

(3)设计时钟发生器电路。

(4)设计时序控制电路。

3设计过程

3.1方案论证

电子秒表总体方框图如图1所示。

图1

工作原理:

电子秒表由计数显示电路、复位电路、控制电路与电源电路组成。

通过计数电路、译码电路在显示器上输出,以上部分组成计数显示电路;通过电源清零电路和反馈清零电路实现复位功能,构成复位电路;利用启动开关和停止开关控制触发器产生启动/停止信号,实现秒表的启动和停止动能,构成控制电路;在整个秒表中,电源电路是采用外接电源来实现的。

经过布线、焊接、调试等工作,数字秒表成形。

在秒表电路中利用一个译码器译出计数器所计时间并经LED显示器显示出来,利用控制电路对秒表进行启动/停止控制。

当计时结束后,利用复位电路对其进行复位。

3.2电路设计

电子秒表电路原理图如图2所示

图2

1、基本RS触发器

图2中单元I为用集成与非门构成的基本RS触发器。

属低电平直接触发的触发器,有直接置位、复位的功能。

图3

如图3它的一路输出作为单稳态触发器的输入,另一路输出Q作为与非门5的输入控制信号。

按动按钮开关K2(接地),则门1输出=1;门2输出Q=0,K2复位后Q、状态保持不变。

再按动按钮开关K1,则Q由0变为1,门5开启,为计数器启动作好准备。

由1变0,送出负脉冲,启动单稳态触发器工作。

基本RS触发器在电子秒表中的职能是启动和停止秒表的工作。

2、计数及译码显示

二—五—十进制加法计数器74LS90构成电子秒表的计数单元,如图1中单元Ⅳ所示。

其中计数器①接成五进制形式,对频率为50HZ的时钟脉冲进行五分频,在输出端QD取得周期为0.1S的矩形脉冲,作为计数器②的时钟输入。

计数器②及计数器③接成8421码十进制形式,其输出端与实验装置上译码显示单元的相应输入端连接,可显示0.1~0.9秒;1~9.9秒计时。

注:

集成异步计数器74LS90

74LS90是异步二—五—十进制加法计数器,它既可以作二进制加法计数器,又可以作五进制和十进制加法计数器。

图5为74LS90引脚排列,表1为功能表。

表1:

输入

输出

功能

清0

置9

时钟

QDQCQBQA

R0

(1)、R0

(2)

S9

(1)、S9

(2)

CP1CP2

1

1

0

×

×

0

××

0

0

0

0

清0

0

×

×

0

1

1

××

1

0

0

1

置9

×0

×0

↓1

QA输出

二进制计数

1↓

QDQCQB输出

五进制计数

↓QA

QDQCQBQA输出8421BCD码

十进制计数

QD↓

QAQDQCQB输出5421BCD码

十进制计数

11

不变

保持

通过不同的连接方式,74LS90可以实现四种不同的逻辑功能;而且还可借助R0

(1)、R0

(2)对计数器清零,借助S9

(1)、S9

(2)将计数器置9。

其具体功能详述如下:

(1)计数脉冲从CP1输入,QA作为输出端,为二进制计数器。

(2)计数脉冲从CP2输入,QDQCQB作为输出端,为异步五进制加法计数器。

(3)若将CP2和QA相连,计数脉冲由CP1输入,QD、QC、QB、QA作为输出端,

则构成异步8421码十进制加法计数器。

(4)若将CP1与QD相连,计数脉冲由CP2输入,QA、QD、QC、QB作为输出端,

则构成异步5421码十进制加法计数器。

(5)清零、置9功能。

a.异步清零

当R0

(1)、R0

(2)均为“1”;S9

(1)、S9

(2)中有“0”时,实现异步清零功能,即QDQCQBQA=0000。

b.置9功能

当S9

(1)、S9

(2)均为“1”;R0

(1)、R0

(2)中有“0”时,实现置9功能,即QDQCQBQA=1001。

其实际仿真电路图如下图7所示:

图7

电路图能完成的功能:

能显示两位数10进制数,其计数范围00—99.

具有清零、预置数、停止等功能。

此时显示结果为0.3秒。

4系统调试与结果

4.1系统调试

(1)组装调试触发器电路。

(2)可预置时间的定时电路,并进行组装和调试。

当输人1Hz的时钟脉冲信号时,要求电路能进行减计时,当减计时到零时,能输出低电平有效的定时时间到信号。

(3)调试函数发生电路。

(4)注意各部分电路之间的时序配合关系。

然后检查电路各部分的功能,使其满足设计要求。

(5)观察计数及显示译码器的测试。

(6)整体测试.将电路连接起来测试。

4.2实验结果

1)电子秒表初始化:

图8电子秒表初始化

2)电子秒表计数:

图9电子秒表计数

5主要仪器与设备

(1)THD-4数字电路实验箱一台。

(2)COS-620示波器

(3)MS8215数字万用表

(4)数字频率计

(5)译码显示器

(6)74LS00*2555*174LS90*3电位器,电阻,电容若干

6设计体会与建议

6.1设计体会

通过这次对电子秒表的设计与制作,让我了解了设计电路的程序,也让我了解了关于秒表的基本原理与设计理念。

通过这次学习,让我对各种电路都有了大概的了解,在这次设计中,我收获了学习和思考的方法,培养了一个好的思考习惯。

总之,此次课程设计充分锻炼了我的设计能力,给我们注入了一些全新的认识,为我以后的设计和实验奠定了基础,为引导我以理论结合实践起到重要意义。

通过这次设计实验激起了我对设计电路的兴趣,希望能够以后能设计出更多更好的电路来,要再接再厉。

6.2对设计的建议

希望老师在我们动手制作之前应先告诉我们一些关于所做电路的资料、原理,以及如何检测电路的方法,有关于检测芯片的方法,还有设计中应该注意哪些问题,应避免哪些错误。

这样会有助于我们进一步的进入状态,完成设计。

参考文献

[1]康华光.电子技术基础.北京:

高等教育出版社,1999年

[2]彭华林等编.数字电子技术.长沙:

湖南大学出版社,2004年

[3]金唯香等编.电子测试技术.长沙:

湖南大学出版社,2004年

[4]侯建军.数字电路实验一体化教程.北京:

清华大学出版社,北京交通大学出版社,2005年

[5]阎石.数字电子技术基础.北京:

高等教育出版社,2001年

[6]赵春华、张学军.电子技术基础仿真实验.北京:

机械工业出版社出版社,2007年

[7]彭介华主编,电子技术课程与设计.高等教育出版社.2007

[8]黄仁新主编,电子技术实训与训练.清华大学出版社.2004

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 外语学习 > 韩语学习

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2