EDA汽车尾灯的设计.docx

上传人:b****5 文档编号:8787233 上传时间:2023-05-15 格式:DOCX 页数:17 大小:79.56KB
下载 相关 举报
EDA汽车尾灯的设计.docx_第1页
第1页 / 共17页
EDA汽车尾灯的设计.docx_第2页
第2页 / 共17页
EDA汽车尾灯的设计.docx_第3页
第3页 / 共17页
EDA汽车尾灯的设计.docx_第4页
第4页 / 共17页
EDA汽车尾灯的设计.docx_第5页
第5页 / 共17页
EDA汽车尾灯的设计.docx_第6页
第6页 / 共17页
EDA汽车尾灯的设计.docx_第7页
第7页 / 共17页
EDA汽车尾灯的设计.docx_第8页
第8页 / 共17页
EDA汽车尾灯的设计.docx_第9页
第9页 / 共17页
EDA汽车尾灯的设计.docx_第10页
第10页 / 共17页
EDA汽车尾灯的设计.docx_第11页
第11页 / 共17页
EDA汽车尾灯的设计.docx_第12页
第12页 / 共17页
EDA汽车尾灯的设计.docx_第13页
第13页 / 共17页
EDA汽车尾灯的设计.docx_第14页
第14页 / 共17页
EDA汽车尾灯的设计.docx_第15页
第15页 / 共17页
EDA汽车尾灯的设计.docx_第16页
第16页 / 共17页
EDA汽车尾灯的设计.docx_第17页
第17页 / 共17页
亲,该文档总共17页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

EDA汽车尾灯的设计.docx

《EDA汽车尾灯的设计.docx》由会员分享,可在线阅读,更多相关《EDA汽车尾灯的设计.docx(17页珍藏版)》请在冰点文库上搜索。

EDA汽车尾灯的设计.docx

EDA汽车尾灯的设计

 

EDA实习:

汽车尾灯的设计

 

所属课程:

EDA技术有效教程

实习题目:

汽车尾灯的设计

专业班级:

组别:

组员:

指导教师:

 

摘要

本文要紧介绍了基于可编程逻辑器件的汽车尾灯操纵器的设计方式与实现进程。

系统设计以QUARTUSII为开发平台,采纳自顶向下的设计方式,运用硬件描述语言VHDL实现了汽车尾灯操纵器的主体功能模块电路的编写;并把所设计的内容下载到汽车尾灯操纵器的硬件载体上进行相应的功能测试,顺利实现了汽车尾灯功能操纵。

关键字:

可编程逻辑器件汽车尾灯操纵器QUARTUSIIVHDL

 

第1章选题依据

汽车尾灯的国内外进展现状

现在的时期,万物日新月异。

在汽车领域中,这种转变也一样存在。

此刻,汽车不单单是要紧的代步工具之一,同时也是时尚和潮流的最好的表现。

汽车尾灯是汽车的语言。

加倍灵敏的灯光信号能够更好的被人“读懂”,更有效地对其他车辆的司机起到提示作用,及时采取相应的规避动作,从而让驾驶更平安。

同时尾灯更普遍的应用于科研项目。

汽车尾灯在汽车信号灯具中占据重腹地位,因为它们发出的信号显示汽车行驶状态和行驶轨迹即将发生转变,对汽车平安行驶肩负重要使命。

最近几年来,汽车外形由于设计上的需要,空气动力特性的提高和美观的需求,低侧面且流线型的外形愈来愈受欢迎。

因此,尾灯的形状也朝着异型化、一体化方向进展,同时由于尾灯占用了汽车后车箱的体积,因此希望尾灯的前后深度(即厚度)尽可能薄,如此设计上就需要将转向灯、刹车灯、侧车灯、倒车灯等各类灯具与车体融为一体,开发成一套组合灯具,因此对汽车尾灯反射镜的形状及采纳的材料提出了更高的要求。

在国外LumiledsLighting公司那个月宣布的新Luxeon(R)III高性能LEDs都能通过单一LED提供稳固的驱动给汽车尾灯,从而达到转换变后车灯的性能成效。

由于光学和包装本钱的降低,新190-流明橙红灯III发光器能够用于成立更小型的寿命更长的后车灯照明系统,价钱比正常的白炽装置的更具竞争性。

超越美邦交通运输部FMVSS标准,欧洲ECE标准和日本工业标准(JIS)铺下了奠基石。

这种新尾灯模跟飞利浦汽车照明公司的产品相似,对单一化LED照明设计有着重要意义同时加速了各汽车制造商对LED照明解决方案的采纳。

可编程器件的进展

PLD(programmablelogicdevice)--可编程逻辑器件:

PLD是作为一种通用集成电路生产的,它的逻辑功能依照用户对器件编程决定。

一样的PLD的集成度很高,足以知足设计一样的数字系统的需要。

如此就能够够由设计人员自行编程而把一个数字系统“集成”在一片PLD上,而没必要去请芯片制造厂商设计和制作专用的集成电路芯片了。

初期的可编程逻辑器件只有可编程只读存贮器(PROM)、紫外线可按除只读存贮器(EPROM)和电可擦除只读存贮器(EEPROM)三种。

由于结构的限制,它们只能完成简单的数字逻辑功能。

其后,显现了一类结构上稍复杂的可编程芯片,即可编程逻辑器件,它能够完成各类数字逻辑功能。

典型的PLD由一个“与”门和一个“或”门阵列组成,而任意一个组合逻辑都能够用“与—或”表达式来描述。

因此,PLD能以乘积和的形式完成大量的组合逻辑功能。

这一时期的产品要紧有PAL和GAL。

PAL由一个可编程的“与”平面和一个固定的“或”平面组成,或门的输出能够通过触发器有选择地被置为寄放状态。

PAL器件是现场可编程的,它的实现工艺有反熔丝技术、EPROM技术和EEPROM技术。

还有一类结构更为灵活的逻辑器件就是可编程逻辑阵列(PLA),它也由一个“与”平面和一个“或”平面组成,可是这两个平面的连接关系是可编程的。

PLA器件既有现场可编程的,也有掩膜可编程的。

在PAL的基础上,又进展了一种通用阵列逻辑GAL,如GAL16V8、GAL22V10等。

它采纳了EEPROM工艺,实现了电可按除、电可改写,其输出结构是可编程的逻辑宏单元,因此它的设计具有很强的灵活性,至今仍有许多人利用。

这些初期的PLD器件的一个一起特点是能够实现速度特性较好的逻辑功能,但其过于简单的结构也使它们只能实现规模较小的电路。

为了弥补这一缺点,20世纪80年代中期,Altera和Xilinx别离推出了类似于PAL结构的扩展型CPLD和与标准门阵列类似的FPGA,它们都具有体系结构和逻辑单元灵活、集成度高和适用范围宽等特点。

这两种器件兼容了PLD和通用门阵列的优势,可实现较大规模的电路,编程也很灵活。

与门阵列等其它ASIC相较,它们又具有设计开发周期短、设计制造本钱低、开发工具先进、标准产品无需测试、质量稳固和可实时在线查验等优势,因此被普遍应用于产品的原型设计和产品生产(一样在10,000件以下)当中。

几乎所有应用门阵列、PLD和中小规模通用数字集成电路的场合都可应用FPGA和CPLD器件。

可编程器件在汽车电子上的运用

在过去的3到4年中,PLD在汽车领域取得了空前的进展。

PLD已经在汽车信息娱乐和通信市场上取得了普遍应用,新兴的汽车辅助驾驶设计也采纳了PLD。

在这一领域中,某些应用进展超级迅速,包括道路偏离报警、夜视和胎压监控系统等。

在尔后5年中,估量PLD的增加至少为50%CAGR。

PLD凭借其较低的本钱结构和较高的系统性能,进入了主流汽车市场。

与SAAP解决方案不同,PLD所具有的灵活性在汽车行业中受到普遍欢迎。

PLD具有较低的芯片本钱结构、丰硕的知识产权(IP)内核、参考设计和较长的产品在市时刻,而且PLD不存在ASIC那样的前端流片(NRE)本钱和最小订购量的问题,是系统设计本钱效益的选择,因此PLD是汽车市场进展的理想选择。

 

设计内容和目标

EDA技术作为现代电子设计技术的核心,它依托功能壮大的运算机,因此本次设计的目的确实是通过实践深切明白得运算机组成原理,了解EDA技术并把握VHDL硬件描述语言的设计方式和思想。

以运算机组成原理为指导,通过学习的VHDL语言结合电子电路的设计知识理论联系本次的实习内容—汽车尾灯操纵器的设计,把握所学的课程知识和大体单元电路的综合设计应用,提高IC设计能力,提高分析、解决运算机技术实际问题的独立工作能力。

通过输入系统时钟信号和相关的汽车操纵信号,汽车尾灯将正确显示当前汽车的操纵状态。

(1)汽车正常行驶(任何开关都没按)时,所有灯都不亮。

(2)汽车左转(按下左转开关)时,左转灯(light1灯)闪烁的亮。

(3)汽车右转(按下右转开关)时,右转灯(light2灯)闪烁的亮。

(4)汽车刹车(按下刹车开关)时,刹车灯(light3)一直亮。

(5)汽车晚上行驶(按下晚上行驶开关)时,晚上照明灯(light4)一直亮。

方案论证与选择

随着电子技术的日新月异和科学技术的相辅相成,如实现汽车尾灯操纵器的方案要紧有基于纯数字电路设计、基于单片机设计和基于可编程逻辑器件设计三种。

下面将从技术可行性和经济上论述这三种方案。

纯数字电路的设计:

图1-1纯数字电路总电路图

基于纯数字电路实现汽车尾灯操纵器的原理图如图1-1所示,它要紧由计数器芯片、集成555按时器和大体逻辑门电路、操纵开关等组成。

可是纯数字电路设计汽车尾灯系统布线复杂,体积、功耗大,靠得住性差,交流和修改不方便,设计周期长。

因此传统的设计开发进程、调试进程十分繁锁,而且由于电子器件之间的相互干扰,电路的稳固性和可移植性比较差,也由于其体积较大,性价比不高,已不适应电子设计的进展要求。

 

基于单片机设计汽车尾灯操纵:

图1-2单片机设计框架图

单片机的设计实现汽车尾灯操纵的总框架图如图1-2所示。

单片机的优缺点:

单片机设计有群众基础,易上手,片源广。

其突出的特点是体积小,功耗低,精简指令集,抗干扰性好,靠得住性高,有较强的模拟接口,代码保密性好。

在一些小型的应用中,比传统的51单片机加倍灵活,外围电路更少,因此取得了普遍的应用。

而且大部份芯片有其兼容的FLASH程序存储器的芯片,支持低电压擦写,擦写速度快,许诺多次擦写,程序修改方便。

它的特点是:

速度快,CPU处置能力强,能移植操作系统。

可是单片机设计只适合民用,商用,不适合工业用途,缘故有以下几点:

(1)低速单片机靠执行指令来完成各类功能,不论多高的工作时钟频率或何等好的指令时序,其排队式串行指令执行方式使得工作速度和效率大打折扣。

在高速实时仿真、高速数据搜集等方面显得力不从心。

(2)复位工作方式单片机工作之初,需花一段时刻经历复位进程;工作时,在某种干扰性突变情形下,也会复位,复杂的复位进程极可能确实是工作不靠得住的本源。

(3)稳固性不行。

 

基于可编程逻辑器件的设计:

图1-3总框架图

基于可编程逻辑器件实现汽车尾灯操纵器的总框架图如图1-3所示。

CPLD器件输入引脚的箝位电平和输出引脚的原始电平可预先设定,一开机当即就能够达到预定电平,状态明确。

各逻辑宏单元或逻辑块的输入信号仅需几ns~几十ns就反映到输出端,信号传输效率很高,适合高速采样等场合。

可编程逻辑宏单元或逻辑块之间的彼此连线在同一封装内,受外界干扰阻碍小,电磁兼容(EMC)性能好。

但是,对设计者来讲,CPLD器件最大的优势在于可现场编程。

改变逻辑关系时,无需更改外部线路板,只需用图形语言程序或硬件描述语言程序来改变电路,生成下载编辑软件,通过下载电缆输入CPLD器件即可,因此设计成功的各类逻辑功能块有专门好的兼容性和可移植性。

另外还专门有利于新品试制,大大缩短了开发周期,大幅度减少设计费用,降低设计风险。

汽车尾灯操纵器要求高速、高灵敏度和高靠得住性,而可编程逻辑器件(CPLD)不但具有高速、高灵敏度和高靠得住而且还具有应用灵活编程方便等优势,在性价例如面可编程逻辑器件也有专门大的优势,如此不但提高汽车的灵敏读和靠得住性,还降低了汽车尾灯的生产本钱,汽车的行驶平安性也进一步提高。

综上所述,对照以上三种方案,方案一稳固性和可移植性比较差,方案二工作速度底和靠得住行差,而方案三不但稳固行和移植行好而且还有高速、高灵敏度和高靠得住性等特点,而且制作本钱低,运用灵活。

最终本次设计选择方案三。

EDA设计流程

1、文本/原理图编辑与修改。

利用EDA工具的文本或图形编辑器将设计者的设计用意用文本或图形方式表达出来。

图形输入通常包括原理图输入,状态图输入和波形图输入三种经常使用方式。

二、编译。

完成设计描述后即可通过编译器进行排错编译,变成特定的文本格式,为下一步的综合做预备。

  3、综合。

将软件设计与硬件的可实现性挂钩,是将软件转化为硬件电路的关键步骤。

综合仅是对HDL而言的。

4、适配。

利用FPGA/CPLD布局布线适配器将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作,其中包括底层器件配置、逻辑分割、逻辑优化、布局布线。

适配报告指明了芯片内资源的分派与利用、引脚锁定、设计的布尔方程描述情形。

五、功能仿真和时序仿真。

在编程下载前必需利用EDA工具对适配生成的结果进行模拟测试,确实是所谓的仿真。

六、编程下载。

把适配后生成的下载或配置文件,通过编程器或编程电缆向FPGA或CPLD下载以便进行调试和验证。

7、硬件测试。

第2章EDA、VHDL简介

EDA技术

EDA技术的概念

EDA是电子设计自动化(ElectronicDesignAutomation)的缩写,在20世纪90年代初从运算机辅助设计(CAD)、运算机辅助制造(CAM)、运算机辅助测试(CAT)和运算机辅助工程(CAE)的概念进展而来的。

EDA技术确实是以运算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由运算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直相当于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

EDA技术的特点

利用EDA技术进行电子系统的设计,具有以下几个特点:

①用软件的方式设计硬件;②用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的;③设计进程中可用有关软件进行各类仿真;④系统可现场编程,在线升级;⑤整个系统可集成在一个芯片上,体积小、功耗低、靠得住性高。

因此,EDA技术是现代电子设计的进展趋势。

EDA设计流程

典型的EDA设计流程如下:

1、文本/原理图编辑与修改。

第一利用EDA工具的文本或图形编辑器将设计者的设计用意用文本或图形方式表达出来。

二、编译。

完成设计描述后即可通过编译器进行排错编译,变成特定的文本格式,为下一步的综合做预备。

3、综合。

将软件设计与硬件的可实现性挂钩,是将软件转化为硬件电路的关键步骤。

4、行为仿真和功能仿真。

利用产生的网表文件进行功能仿真,以便了解设计描述与设计用意的一致性。

五、适配。

利用FPGA/CPLD布局布线适配器将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作,其中包括底层器件配置、逻辑分割、逻辑优化、布局布线。

适配报告指明了芯片内资源的分派与利用、引脚锁定、设计的布尔方程描述情形。

六、功能仿真和时序仿真。

7、下载。

若是以上的所有进程都没有发觉问题,就能够够将适配器产生的下载文件通过FPGA/CPLD下载电缆载入目标芯片中。

八、硬件仿真与测试。

硬件描述语言(VHDL)

VHDL简介

VHDL(Very-High-SpeedIntegratedCircuitHardwareDescriptionLanguage)要紧用于描述数字系统的结构、行为、功能和接口。

除含有许多具有硬件特点的语句外,VHDL的语言形式和描述风格与句法是十分类似于一样的运算机高级语言。

VHDL的程序结构特点是将一项工程设计,或称设计实体(能够是一个元件,一个电路模块或一个系统)分成外部(或称可是部份,及端口)和内部(或称不可视部份),既涉及实体的内部功能和算法完成部份。

在对一个设计实体概念了外部界面后,一旦其内部开发完成后,其他的设计就能够够直接挪用那个实体。

这种将设计实体分成内外部份的概念是VHDL系统设计的大体点。

VHDL语言的特点

1.用VHDL代码而不是用原理图进行设计,意味着整个电路板的模型及性能可用运算机模拟进行验证。

元件的设计与工艺无关,与工艺独立,方便工艺转换。

支持各类设计方式,自顶向下、自底向上或混合的都能够。

4.能够进行从系统级到逻辑级的描述,即混合描述。

区别于其他的HDL,已形成标准,其代码在不同的系统中可互换建模。

第3章设计实现

基于可编程的汽车尾灯操纵器由2个模块组成,别离为:

时钟分频模块、汽车尾灯主控模块。

以下介绍各模块的详细设计:

汽车尾灯操纵器总程序

本次实习设计的是汽车尾灯,以下是本次设计的要紧程序。

Libraryieee;

UseWEIDENGIS

PORT(CLK:

INSTD_LOGIC;

L:

INSTD_LOGIC;

R:

INSTD_LOGIC;

Y:

INSTD_LOGIC;

S:

INSTD_LOGIC;

light1:

OUTstd_logic_vector(2downto0);

light2:

OUTstd_logic_vector(2downto0);

light3:

OUTstd_logic_vector(2downto0);

light4:

OUTstd_logic_vector(2downto0));

end;

ARCHITECTUREARTOFWEIDENGIS

signalcnt1:

std_logic_vector(27downto0);

signalnclk:

std_logic;

signalcnt:

std_logic_vector(1downto0);

begin

process(clk)

begin

ifclk'EVENTANDclk='1'then

cnt1<=cnt1+1;

ifcnt1=x"2faf080"then

cnt1<=x"0000000";

nclk<='1';

elsenclk<='0';

endif;

endif;

endprocess;

process(nclk)

begin

ifnclk'eventandnclk='1'then

cnt<=cnt+1;

ifcnt="10"thencnt<="00";

endif;

endif;

endprocess;

uu1:

PROCESS(CLK,L,S,Y,R,cnt)

BEGIN

IFCLK'EVENTANDCLK='1'THEN

IFL='1'THEN

CASECNTIS

when"00"=>light1<="001";

when"01"=>light1<="010";

when"10"=>light1<="100";

WHENOTHERS=>NULL;

ENDCASE;

ELSEIFL='0'THEN

CASECNTIS

when"00"=>light1<="000";

when"01"=>light1<="000";

when"10"=>light1<="000";

WHENOTHERS=>NULL;

ENDCASE;

ENDIF;

endif;

IFS='1'THEN

CASECNTIS

when"00"=>light4<="011";

when"01"=>light4<="101";

when"10"=>light4<="110";

WHENOTHERS=>NULL;

ENDCASE;

ELSEIFS='0'THEN

CASECNTIS

when"00"=>light4<="000";

when"01"=>light4<="000";

when"10"=>light4<="000";

WHENOTHERS=>NULL;

ENDCASE;

endif;

ENDIF;

IFY='1'THEN

CASECNTIS

when"00"=>light3<="110";

when"01"=>light3<="101";

when"10"=>light3<="011";

WHENOTHERS=>NULL;

ENDCASE;

ELSEIFY='0'THEN

CASECNTIS

when"00"=>light3<="000";

when"01"=>light3<="000";

when"10"=>light3<="000";

WHENOTHERS=>NULL;

ENDCASE;

endif;

ENDIF;

IFR='1'THEN

CASECNTIS

when"00"=>light2<="001";

when"01"=>light2<="010";

when"10"=>light2<="100";

WHENOTHERS=>NULL;

ENDCASE;

ELSEIFR='0'THEN

CASECNTIS

when"00"=>light2<="000";

when"01"=>light2<="000";

when"10"=>light2<="000";

WHENOTHERS=>NULL;

ENDCASE;

endif;

ENDIF;

ENDIF;

ENDPROCESS;

 

ENDART;

 

L,R,S,Y别离代表左转,右转,刹车,夜间行驶,输入都为高电平有效.light1,light2,light3,light4别离是左转弯,右转弯,刹车,夜间行驶的指示灯。

当输入为高电平常,各指示灯别离循环闪烁。

低电平常各指示灯全灭。

L,R,S,Y都是利用简单的IF语句来进行编译的。

因此汽车才能同时显现夜间照明,刹车,左转或右转的功能,而不能同时显现左转和右转的功能。

功能框图

依照设计项目要求和电路原理框图能够画出汽车尾灯操纵逻辑功能表如下所示:

6个指示灯

6个指示灯

LR

SY

L1L2

L3L4

00

00

000000

000000

10

10

100000

010000

001000

100000

010000

001000

01

01

000001

000010

000100

000001

000010

000100

 

软件调试

第一是在桌面打开QuartusⅡ软件后选择File>>NewProjectWizard进入新建工程对话框>>单击Next进入新建工程途径、名称、顶层实体指定对话框(选择好保留途径、输入工程名为weideng),由于只是进行功能和时序仿真,因此直接单击Finish即可。

第二是成立VHDL程序文件(选择File>>New打开新建文件对话框>>选择VHDLFile即可)。

最后是输入汽车尾灯操纵电路程序代码>>保留>>对该工程文件进行全程编译处置。

若是在编译的进程中发觉有错误,那么找出并更正错误,直至编译成功为止。

终止语

通过几天的对设计汽车尾灯操纵电路的实习,咱们(贾媛媛,何俊辉,焦阳)参考了EDA课程,和关于VHDL语言的许多资料和彼此之间的交流而完成了本次的实习。

刚接到汽车尾灯的操纵电路的设计那个题目的时候,大伙儿刚开始有点不合,可是证明实践是排除不合的最好方式。

大伙儿统一思想以后,碰到了许多想不到的问题,碰到问题不恐怖,关键是要有一个解决问题的信念。

咱们踊跃跟教师沟通,跟同窗交流,最终咱们完成了本次实习。

实习中咱们明白光把握书本上的知识是不够的,所有的知识都是效劳于动手能力的,知识和时刻结合才是衡量能力的最好方式。

在设计中要求咱们要有耐心和毅力,还要细心,稍不谨慎,一个小小的错误就会致使结果的不正确,二对错误的检查要求咱们要有足够的耐心。

通过这次设计和设计在碰到的问题,咱们也积存了必然的体会,以后从事集成电路设计工作会有必然的帮忙。

在应用VHDL的进程中让咱们真正领会到了其并行运行与其它软件顺序运行的不同及其在电路设计上的优越性。

用VHDL硬件描述语言来进行数字系统的设计方便灵活。

如此的设计方式不但降低了开发的本钱,而且缩短了开发的周期。

实习终止了,学到的东西可不能终止!

 

致谢

毕业论文的终止也预示着我大学生涯的终止,回忆起来让我感叹万千,我永久也忘不了在此设计中帮忙关切我的教师和同窗。

本课程设计是在邱富军教师精心指导和大力支持下完成的。

在设计进程中他多次悉心指导,耐心的讲解给了我专门大的帮忙,关于设计中的错误他也很细心认真的给我讲解并修改。

关于这位比咱们年长几岁的教师,他身上发射出来的专业内涵让我佩服,同时他渊博的知识、开阔的视野和灵敏的思维给了我深深的启发。

也让我为以后积存了很多的体会。

另外还有我的合作伙伴王孝泼同窗,在软件设计进程中他给了我很多宝贵的意见,也在必要的时候帮忙我仿真测试,他踊跃向上,乐观老实,是个专门好的伙伴。

还有寝室和班上的同窗们也给了我的专门大的帮忙和关切。

还更应该感激的是我的父母,

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 医药卫生 > 基础医学

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2