基于TMS320VC5402与FPGA的液晶模块接口电路设计.doc

上传人:wj 文档编号:8853895 上传时间:2023-05-15 格式:DOC 页数:16 大小:424KB
下载 相关 举报
基于TMS320VC5402与FPGA的液晶模块接口电路设计.doc_第1页
第1页 / 共16页
基于TMS320VC5402与FPGA的液晶模块接口电路设计.doc_第2页
第2页 / 共16页
基于TMS320VC5402与FPGA的液晶模块接口电路设计.doc_第3页
第3页 / 共16页
基于TMS320VC5402与FPGA的液晶模块接口电路设计.doc_第4页
第4页 / 共16页
基于TMS320VC5402与FPGA的液晶模块接口电路设计.doc_第5页
第5页 / 共16页
基于TMS320VC5402与FPGA的液晶模块接口电路设计.doc_第6页
第6页 / 共16页
基于TMS320VC5402与FPGA的液晶模块接口电路设计.doc_第7页
第7页 / 共16页
基于TMS320VC5402与FPGA的液晶模块接口电路设计.doc_第8页
第8页 / 共16页
基于TMS320VC5402与FPGA的液晶模块接口电路设计.doc_第9页
第9页 / 共16页
基于TMS320VC5402与FPGA的液晶模块接口电路设计.doc_第10页
第10页 / 共16页
基于TMS320VC5402与FPGA的液晶模块接口电路设计.doc_第11页
第11页 / 共16页
基于TMS320VC5402与FPGA的液晶模块接口电路设计.doc_第12页
第12页 / 共16页
基于TMS320VC5402与FPGA的液晶模块接口电路设计.doc_第13页
第13页 / 共16页
基于TMS320VC5402与FPGA的液晶模块接口电路设计.doc_第14页
第14页 / 共16页
基于TMS320VC5402与FPGA的液晶模块接口电路设计.doc_第15页
第15页 / 共16页
基于TMS320VC5402与FPGA的液晶模块接口电路设计.doc_第16页
第16页 / 共16页
亲,该文档总共16页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

基于TMS320VC5402与FPGA的液晶模块接口电路设计.doc

《基于TMS320VC5402与FPGA的液晶模块接口电路设计.doc》由会员分享,可在线阅读,更多相关《基于TMS320VC5402与FPGA的液晶模块接口电路设计.doc(16页珍藏版)》请在冰点文库上搜索。

基于TMS320VC5402与FPGA的液晶模块接口电路设计.doc

湖北民族学院

信息工程学院

DSP课程设计报告书

题目:

基于TMS320VC5402与FPGA的液晶模块接口电路设计

专业:

电气工程及其自动化

班级:

0308408

学号:

030840815

学生姓名:

指导教师:

2011年4月20日

信息工程学院课程设计任务书

学号

030840815

学生姓名

专业(班级)

电气工程及其自动化

设计题目

基于TMS320VC5402与FPGA的液晶模块接口电路设计

VC5402采用双电源供电,

工作电压一般为3V和1.8V,

1.8V主要为内部逻辑提供电压。

外部接口引脚仍然采用3.3V电压。

(1)绘制系统框图,采用C5402+FPGA方案;

(2)包括电源设计,复位电路设计,时钟电路设计存储器设计,JTAG接口设计等,用Protel软件绘制原来的图和PCB图;

(3)实现DSP与PC通信,DSP与FPGA通信;

(4)给出程序流程图,编写部分程序;

(5)参考文献,论文格式规范。

要求:

字数在5000——8000范围内;

字数5737字

图纸12张

注:

可填写课程设计报告的字数要求或要完成的图纸数量。

第八周收集资料;

第九周着手论文;

第十周画图并整理。

[1]康华光,陈大钦.电子技术基础—模拟部分(第五版)[M].北京:

高等教育出版社,2005

[2]皮文兵.一种宽输入范围的Gillbert模拟乘法器设计[J].电子设计应用.2007.13

(1):

88-90

[3]焦春生.新型绿色能效D类音频放大器设计应用[EB/OL].

[4]数字信号处理――原理与实践,清华大学出版社,2006.3第1版

指导教师签字

2011年4月20日

信息工程学院课程设计成绩评定表

学生姓名:

学号:

030840815专业(班级):

电气工程(0308408)

课程设计题目:

基于TMS320VC5402与FPGA的液晶模块接口电路设计

指导教师评语:

成绩:

指导教师:

年月日

基于TMS320VC5402与FPGA的液晶模块接口电路设计

摘要:

在小规模图形液晶显示模块上使用液晶显示驱动控制器组成液晶显示驱动和控制系统,是当今低成本,低功耗,高集成化设计的最好选择,SED1520是当前最常用的一种液晶显示驱动控制器,这类图形液晶显示模块的规模为32行,本文用到的液晶显示模块CM12232即是内置SED1520的液晶显示模块,该模块的驱动控制系统由两片SED1520组成。

关键词:

高速处理器;串口通信;现场可编程门阵列

12

ABSTRACT:

InsmallgraphicsLCDmoduleforuseonliquidcrystaldisplaydrivecontrollertocomposeLCDdriveandcontrolsystem,isthelowcost,lowpowerconsumption,highintegrationdesign,thebestchoiceSED1520isnowthemostcommonlyusedonekindofliquidcrystaldisplaydrivecontroller,thiskindofgraphicsLCDmodulescalefor32line,thispaperusedLCDmoduleCM12232namelyisbuilt-inSED1520liquidcrystaldisplay(LCD)module,thismoduledrivercontrolsystemcomposedoftwoSED1520.

Keywords:

highspeedprocessors;Serialinterfacecommunication;Fieldprogrammablegatesarray

目录

1任务提出与方案论证 2

1.1TAM320VC5402简介 2

1.2FPGA简介 3

2总体设计 4

2.1FPGA的设计方法 4

2.2VHDL硬件描述语言 4

3详细设计 6

3.1硬件设计 7

3.2软件设计 9

4总结 11

参考文献 12

1任务提出与方案论证

(1)基本内容:

应用VHDL语言对FPGA进行开发,下载打牌ALTERA公司的Cyclone系列的EP1C3芯片上调试通过,使其能驱动和控制LCD12864,可显示4行8列中文字符或4行16列的英文字符。

(2)技术方案:

1.以ALTERA中Cyclone系列的EP1C3芯片作为硬件平台

2.QUARTUS作为开发软件

3.VHDL作为开发语言。

本方案采用的FPGA为Alter公司的EP1C3芯片,它可提供系统的时钟及读写控制,驱动电路通过串口和上位机进行通讯,数据通过串口传输到FPGA的片上ROM中,传输结束后FPGA上的液晶显示驱动电路开始工作,控制信号发生器产生控制信号及地址,并将由片上ROM读出的像素点的值送LCD显示器显示。

1.1TMS320VC5402简介

TMS320VC5402(以下简称5402)是美国TI公司的性价比极高的16bit定点DSP芯片,操作速度可以达到100MIPS,其内部资源配置为用户构造系统提供了很大的便利。

其主要特点如下:

(a)多总线结构,片内3套16bit数据总线CB、DB、EB和1套程序总线PB以及对应的4套地址总线CBA、DBA、EBA、PBA(4套总线可以同时操作)。

(b)40bitALU(算术逻辑单元),包含40个bit桶形移位器和2个40bit累加器;一个17×17bit乘法器和一个40bit专用加法器;2个地址产生器,8个辅助寄存器,一个比较/选择/存储(CSSU)单元。

(c)片内4K×16bitROM,16K×16bitDARAN。

(d)程序空间扩展到1MB,数据和I/O空间各64KB,20条地址线,16条数据线。

(e)6级流水线完成一条指令:

预读取、取指、译码、访问、读数、执行。

(f)片上JTAG仿真接口。

1.2FPGA简介

FPGA是英文Field-ProgrammableGateArray的缩写,即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。

它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。

FPGA采用了逻辑单元阵列LCA(LogicCellArray)这样一个概念,内部包括可配置逻辑模块CLB、输出输入模块IOB和内部连线三个部分。

FPGA的基本特点主要有:

1)采用FPGA设计ASIC电路,用户不需要投片生产,就猛得到合用的芯片。

2)FPGA可做其他全制定或半制定ASIC电路的中试样片。

3)FPGA的内部有丰富的触发器和I/O引脚。

4)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的期间之一。

5)DPFA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。

2总体设计

2.1FPGA的设计方法

FPGA的常用设计方法包括“自顶而下”和“自下而上”。

目前大规模FPGA设计一般选择“自定而下”的设计方法。

所谓“自定而下”设计方法,简单的说,就是采用可以完全独立于芯片厂商及其产品结构的描述语言,在功能级对设计产品进行定义,并结合功能仿真技术,以确保设计的正确性,在功能定义完成后,利用逻辑综合技术,把功能描述转换成某一具体结果芯片的网表文件,输出给厂家的布局布线器进行布局布线。

布局布线结果还可以反标回同一仿真器进行包括功能和时序的后验证,以确保布局布线所带来的门延时和线延时不会影响设计性能,

“自定而下”设计方法的优越性是显而易见的。

首先,由于功能描述可以完全独立于芯片结构,在实际的最初阶段,设计师可不接受芯片结构的约束,集中精力进行产品设计,进而避免了传统设计方法所带来的重新再设计风险,大大的缩短了设计周期。

其次,设计的再利用得到保证。

目前的电子产品正想模块化方向发展。

所谓模块化就是对以往设计成果进行修改、组合和再利用,产生全新的或派生设计。

而“自顶向下”设计方法的功能描述可与芯片结构无关。

因此,可以以一种IP的方式进行存档,以便将来重新利用。

第三,设计规模大大提高。

简单的语言描述即可完成复杂的功能吗,而不需要手工绘图。

第四,芯片选择更加灵活。

设计师可在较短的时间内采用各种结构芯片来完成同一功能描述,从而在设计规模、速度、芯片价格及系统性能要求等方面进行平衡,选择最佳结果。

目前最为常用的功能描述方法是采用均已成为国际标准的两种硬件描述语言VHDL和VerilogHDL。

2.2VHDL硬件描述语言

VHDL主要用于描述数字系统的结构,行为,功能和接口。

出了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算及高级语言。

VHDL的程序结构特点是将一项工程设计,或称设计实体分成外部和内部,既涉及实体的内部功能和算法完成部分。

在对一个设计实体定义了外部洁面后,一旦其内部开发完成后,其他设计就可以直接调用这个实体。

这种将设计实体分成内外不分的概念是VHDL系统的基本点。

应用VHDL进行工程设计的优点是多方面的。

1.与其他的硬件描述语言相比,VHDL具有更强的行为描述能力,从而决定了他成为系统设计域最佳的硬件描述语言。

强大的行为描述能力是避开具体器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。

2.VHDL丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。

3.CHDL语言的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有的合计的在利用功能。

符合市场需求的大规模系统高效,高速的完成必须有多人甚至多个代发组共同并行工作才能实现。

4.对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动的把VHDL描述设计转变成门级网表。

5.VHDL对设计的描述具有相对独立性,设计者可以不动硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计。

3详细设计

3.1硬件设计

本方案采用的FPGA为Alter公司的ACEX1K30芯片,它可提供系统的时钟及读写控制,ACEX系列的FPGA由逻辑阵列块LAB、嵌入式阵列块EAB、快速互联以及IO单元组成,每个逻辑阵列块包含8个逻辑单元LE和一个局部互联。

每个逻辑单元则由一个4输入查找表(LUT)、一个可编程触发器、快速进位链、缀连链组成,多个LAB和多个EAB则可通过快速通道互相连接。

EAB是ACEX系列器件在结构设计上的一个重要部件,他是输入端口和输出端口都带有触发器的哟中灵活的RAM块,其主要功能是实现一些规模不太大的FIFO、ROM和双端口RAM等。

在本液晶显示接口电路中,EAB主要用宏功能模块实现上ROM。

他通过调用FPGA上的EAB资源来实现汉子的现实和字符的存储,并根据控制信号产生的地址从ROM中读取字符值然后送LCD显示器进行显示。

由于所用的图形点阵液晶块内置有SED1520控制器,所以,其电路特性实质上就是SED1520的电路特性。

SED1520的主要特性如下:

1)具有液晶显示行驱动器,具有16路行驱动输出,并可级联实现32行驱动。

2)具有液晶显示列驱动器,共有61路列驱动器输出。

3)内置时序发生器,其占空比可设置为1/16和1/32两种。

4)内藏显示存储器,显示存储器内的数据可直接显示,“1”为显示,“0”为不显示。

5)接口总线时序可适配8080系列或M6800系列,并可直接与计算机接口。

6)操作简单,有13条控制指令。

7)采用CMOS工艺,可在电压低至2.4-7.0V时正常工作,功耗仅30uW。

本设计所用的字符液晶模块CM12232由两块SED1520级联驱动,其中一个工作在主工作方式下,另一个工作在从方式下,主工作方式SED1520负责上半屏16行的驱动和左半屏的61列驱动,从工作方式的SED1520则负责下半屏16行的驱动和右半屏的61列驱动,是能信号E1、E2用来区分具体控制的是哪一片SED1520,其系统的硬件连接图如图1所示。

由图1可见,该系统的硬件部分连接十分简单,其中FPGA部分没画出,而液晶与FPGA的接口则可直接以网表的形式给出,将它们直接与FPGA的普通I/O引脚相连即可。

3.1.1电源

VC5402采用双电源供电,以获得更好的电源性能,工作电压为3.3V和1.8V。

其中,1.8V主要为该器件内部逻辑提供电压,包括CPU和其他所有的外设逻辑,与3.3V供电相比,1.8V供电可以大大降低功耗。

外部接口引脚仍然采用3.3V电压,便于直接与外部低电压器件连接,应该注意电压的转换,在本设计中跟外部5V电压的TTL的器件正常连接,而无需额外的电平转换电路。

单片机89C52采用的是5V电源供电,不影响其于VC5402的连接以及数据交换。

VC5402由于有两个电源,需要考虑内部电源的上电次序,在理想情况下,DSP芯片上的电源同时加电,单基本上很难实现这点,因此应先对外部接口加上3.3V的电源,然后加1.8V的内核电源。

为了能够让电源设计方便TI公司也生产为方便DSP设计的电源芯片。

TI公司生产的TPS73xx系列芯片是满足DSP而设计的,电源输出电压稳定,输出电压可调等特性。

如TPS73HD301、TPS73HD325、TPS73HD318以及TPS73HD318等。

3.1.2时钟电路

时钟是一个系统的核心,时钟信号的好坏直接决定了系统的稳定性,VC5402提供了内部和外部两种方式的时钟发生模式。

芯片的主频为100MHz,如果直接用外频输入,使得外部频率很高,电路复杂,实现较困难。

使用内部PLL,外部时钟频率只需10-20MHz,设计电路简单,只需在时钟引脚x1和x2?

CLKIN连接一个无源晶振,如图3所示。

对于晶振的大小没有特别要求,DSP有一组引脚CLKMD1-CLKMD3可以用来调整工作频率的高低。

3.1.3复位电路

复位电路对微处理器的系统设计师必须的,复位电路也非常简单,需要上电复位、手动复位以及自动复位三部分。

系统中单片机和DSP都需要连接复位电路,复位就是让微处理器上电后保持2个CLOKOUT时间周期以上的低电平,是系统内部的寄存器初始化。

自动复位电路在电源部分给予提供,图4简单提供上电复位和手动复位的实现。

3.1.4软件译码电路

5402对外部接口的控制信号有限,有要同时完成对A/D、D/A、EPROM的控制,最有效的办法是加入译码电路。

本系统采用通用的328译码器74LS138,使用5402的高位地址线A13、A14、A15作为译码输入,IS和IOSTRB作为译码使能端输入。

3.2软件设计

具体实现的重点是如何从存放有字符的ROM块中读出数据,并按照液晶的时序正确的写入,在介绍具体实现方法前,首先要熟悉SED1520的指令。

SED1520的几种主要的控制指令格式如下:

(1)复位格式(reset)

该指令用于实现SED1520的软件复位。

该指令执行时,显示起始行寄存器清零,接着列地址指令指针清零,之后页地址寄存器置为“3”。

(2)休闲状态设置(statisdriveON\OFF)

该指令是休闲状态的软件开关,当S=1时,SED1520进入休闲状态;当S=0时,SED1520将终止或退出休闲状态。

(3)占空比设置(slectduty)

该指令用于设置SED1520的占空比,Du=0时为1/16占空比,Du=1时为1/32占空比。

(4)ADC选择指令(selecADC)

该指令用于设置显示存储器中单元的地址所对应的显示驱动输出的顺序。

A=0时,顺时针显示;A=1时,逆时针显示。

(5)显示起始行设置(displaystartline)

该指令可用于设置显示屏上第一行所对应的显示存储器的行号,由此行顺序下延可得到对应显示屏上的显示效果,L=0-31(1FH),对应表示存储器的第1-32行。

(6)显示开关设置

该指令控制着显示驱动器的输出。

当Di=0时,显示关,当Di=1时,显示开。

(7)页面显示储存的设置

SED1520将显示存储器分为4个页面(0-3页)。

每个页面都有80个字节。

页面管理可由2位页地址寄存器控制,该指令就是设置页地址寄存器的内容,以选择相应的显示寄存器的页面,P=0-3,对应代表0-3页。

(8)列地址设置(setcolumnsegmentaddress)

SED1520的显示存储器的每个页面上都有80个字节,每个字节中的8为数据对应着显示屏上同一列的8点行,列地址指针就是管理这80个单元的,列地址指针是一个7位加一计数器,由它和页地址寄存器组合,可唯一指定显示存储器的某一个单元,列地址指针在计算机对显示存储器的每次操作后都将自动加一。

该指令就是设置列地址指针内容的。

当CY=0-4FH,分别对应于1-80单元地址。

4总结

通过这次课程设计,完成了一种能较为简单和有效地控制LCD模块显示字符、图像的可移植的控制模块。

并且有了一定的收获,经过这次设计,学会了用Protel画图和用Visio画图。

同时也对自身知识的欠缺有了深刻的认识,好多地方都不是很清楚,PCB没能画出来,对此我深感遗憾,希望在以后的学习过程中能够认真学好并能完善这片设计报告。

参考文献

[1]康华光,陈大钦.电子技术基础—模拟部分(第五版)[M].北京:

高等教育出版社,2005

[2]皮文兵.一种宽输入范围的Gillbert模拟乘法器设计[J].电子设计应用.2007.13

(1):

88-90

[3]焦春生.新型绿色能效D类音频放大器设计应用[EB/OL].

[4]张筑生.微分半动力系统的不变集[D].北京:

北京大学数学系数学研究所,1983

[5]《DSP芯片技术与应用》—刘卯国—国防工业出版社

[6]《DSP原理与应用》—崔涛—西安电子科技大学出版社

[7]《DSO的发展历史》—电子器件网

[8]数字信号处理――原理与实践,清华大学出版社,2006.3第1版

[9]刘文怡,杨慧梁.基于DSP和以太网的视频监控系统的设计与实现[J].安防科技,2009,9(9):

19-21.

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 外语学习 > 韩语学习

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2