交通信号控制器的VHDL的设计.doc

上传人:wj 文档编号:8857957 上传时间:2023-05-15 格式:DOC 页数:4 大小:127KB
下载 相关 举报
交通信号控制器的VHDL的设计.doc_第1页
第1页 / 共4页
交通信号控制器的VHDL的设计.doc_第2页
第2页 / 共4页
交通信号控制器的VHDL的设计.doc_第3页
第3页 / 共4页
交通信号控制器的VHDL的设计.doc_第4页
第4页 / 共4页
亲,该文档总共4页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

交通信号控制器的VHDL的设计.doc

《交通信号控制器的VHDL的设计.doc》由会员分享,可在线阅读,更多相关《交通信号控制器的VHDL的设计.doc(4页珍藏版)》请在冰点文库上搜索。

交通信号控制器的VHDL的设计.doc

北华航天工业学院

综合实践总结报告

综合实践名称:

EDA技术与实践

综合实践地点、时间

交通信号灯控制

目录

1.设计任务

2.实验目地

3.原理图

4.程序

5.心得体会

交通信号控制器的VHDL的设计

一、设计任务

模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号灯,用数码管显示等待时间。

设计一个交通信号灯控制器。

能达到的要求:

(1)交通灯从绿变红时,有5秒黄灯亮的间隔时间;

(2)交通灯红变绿是直接进行的,没有间隔时间;

(3)主干道上的绿灯时间为30秒,支干道的绿灯时间为20秒;

(4)在任意时间,显示等待时间。

支干道

主干道

图1路口交通管理示意图

A

B

C

D

主干道交通灯

绿(30秒)

黄(5秒)

红(20秒)

红(5秒)

支干道交通灯

绿

表1交通信号灯的4种状态

二、实验目的

学习EDA开发软件quartusII的使用方法,熟悉可编程逻辑器件的使用。

三、原理图

四、试验程序

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_arith.all;

useieee.std_logic_unsigned.all;

entitymux4_1is

port(

clk:

instd_logic;

ql1,qh1,ql,qh:

instd_logic_vector(3downto0);

sel:

bufferstd_logic_vector(2downto0);

y:

outstd_logic_vector(3downto0));

endmux4_1;

architectureoneofmux4_1is

begin

process(qh1,ql1,qh,ql,sel)

begin

ifsel="000"theny<=qh1;

elsifsel="001"theny<=ql1;

elsifsel="010"theny<=qh;

elsifsel="011"theny<=ql;

endif;

endprocess;

process(clk,sel)

begin

if(clk'eventandclk='1')then

ifsel="011"thensel<="000";

else

sel<=sel+"001";

endif;

endif;

endprocess;

endone;

五心得体会

通过这次课设,我深深地感受到,要把知识真正掌握到手里,在理论的基础上,还必须要有更深一步的实践。

通过大作业的实践,把那些书本上的知识点都运用起来,去真正地做点东西出来,远比死记硬背理论知识更有意义。

从刚开始的毫无头绪,无从下手,到之后积极查找资料,与组员讨论,再到后来着手设计,划分模块,编写代码,编译仿真,纠错反思。

这样一步步脚踏实地迈向成功的感觉真的不错。

以后,我会继续用VHDL去做一些自己感兴趣的东西,慢慢积累,慢慢地争取往更高的目标发展。

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 外语学习 > 韩语学习

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2