基于VHDL技术交通节点控制系统优化设计.docx

上传人:聆听****声音 文档编号:902041 上传时间:2023-04-30 格式:DOCX 页数:50 大小:324.78KB
下载 相关 举报
基于VHDL技术交通节点控制系统优化设计.docx_第1页
第1页 / 共50页
基于VHDL技术交通节点控制系统优化设计.docx_第2页
第2页 / 共50页
基于VHDL技术交通节点控制系统优化设计.docx_第3页
第3页 / 共50页
基于VHDL技术交通节点控制系统优化设计.docx_第4页
第4页 / 共50页
基于VHDL技术交通节点控制系统优化设计.docx_第5页
第5页 / 共50页
基于VHDL技术交通节点控制系统优化设计.docx_第6页
第6页 / 共50页
基于VHDL技术交通节点控制系统优化设计.docx_第7页
第7页 / 共50页
基于VHDL技术交通节点控制系统优化设计.docx_第8页
第8页 / 共50页
基于VHDL技术交通节点控制系统优化设计.docx_第9页
第9页 / 共50页
基于VHDL技术交通节点控制系统优化设计.docx_第10页
第10页 / 共50页
基于VHDL技术交通节点控制系统优化设计.docx_第11页
第11页 / 共50页
基于VHDL技术交通节点控制系统优化设计.docx_第12页
第12页 / 共50页
基于VHDL技术交通节点控制系统优化设计.docx_第13页
第13页 / 共50页
基于VHDL技术交通节点控制系统优化设计.docx_第14页
第14页 / 共50页
基于VHDL技术交通节点控制系统优化设计.docx_第15页
第15页 / 共50页
基于VHDL技术交通节点控制系统优化设计.docx_第16页
第16页 / 共50页
基于VHDL技术交通节点控制系统优化设计.docx_第17页
第17页 / 共50页
基于VHDL技术交通节点控制系统优化设计.docx_第18页
第18页 / 共50页
基于VHDL技术交通节点控制系统优化设计.docx_第19页
第19页 / 共50页
基于VHDL技术交通节点控制系统优化设计.docx_第20页
第20页 / 共50页
亲,该文档总共50页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

基于VHDL技术交通节点控制系统优化设计.docx

《基于VHDL技术交通节点控制系统优化设计.docx》由会员分享,可在线阅读,更多相关《基于VHDL技术交通节点控制系统优化设计.docx(50页珍藏版)》请在冰点文库上搜索。

基于VHDL技术交通节点控制系统优化设计.docx

科毕业论文(设计)

论文(设计)题目:

基于VHDL技术的交通节点控制系统优化设计

专 业:

电子信息工程 班 级:

学 号:

学生姓名:

指导教师:

2013年6月7日

贵州大学本科毕业论文(设计)

诚信责任书

本人郑重声明:

本人所呈交的毕业论文(设计),是在导师的指导下独立进行研究所完成。

毕业论文(设计)中凡引用他人已经发表或未发表的成果、数据、观点等,均已明确注明出处。

特此声明。

论文(设计)作者签名:

日 期:

目录

摘要 III

Abstract IV

引言 1

第1章概述 2

1.1交通灯的背景 2

1.2交通控制系统目前国内外发展现状 3

1.3EDA技术概述 5

1.3.1EDA技术简介及发展 5

1.3.2EDA设计方法 5

1.3.3EDA系统设计的主要特点 5

1.3.4传统电子设计与EDA设计方法比较 5

1.4VHDL语言综述 6

1.4.1VHDL语言简介 6

1.4.2VHDL语言的特点 6

1.4.3VHDL的设计流程 7

1.5QuatusII软件简介 7

第2章设计方案的优化选择 9

2.1方案1、基于单片机的交通节点控制系统的设计。

9

2.2方案2、基于小规模集成电路的设计。

10

2.3方案3、基于VHDL的设计。

10

第3章交通灯控制系统的具体设计 12

3.1总体设计及思路分析 12

3.2红、绿、黄灯及左转蓝色指示灯的工作规律 13

3.3分模块设计 17

3.3.1定时单元模块 17

3.3.2显示控制电路模块设计 29

3.3.3译码显示电路模块设计 32

第4章设计总结 37

参考文献 39

致谢 40

附录 41

基于VHDL技术的交通节点控制系统优化设计

摘要

决定一个城市的发展与进步的因数有很多,但交通道路系统无疑是这众多因素中重要的一环。

城市发展了,人民生活水平不断提高,城市里的车也越来越多,这使得城市的道路交通成为一个非常棘手的问题,严重的交通拥堵现象,给广大市民的上班等出行带来了许多不便。

为了缓解城市的交通拥堵问题,特别是交通交叉路口拥堵问题,那么研究一个更加智能、先进的交通灯控制系统就显得非常有必要。

纵观以前的交通控制系统多数由PLC或单片机实现,本设计介绍的是基于VHDL技术的交通灯控制系统的优化设计。

通过对系统进行详细结构分析,采用层次化的设计方法,给出模块的VHDL程序,再利用Quatusц软件对程序进行仿真分析。

在用系统硬件描述语言进行电路设计时,还应充分认识到VHDL语言的特点,从设计思路、语句运用及描述方法等多方面进行优化设计。

关键词:

交通控制系统;VHDL语言;Quatusц软件;仿真

Abstract

Determineacity'sdevelopmentandprogressoffactoraremany,butthetrafficsystemisoneimportantpartofthemanyfactors.Urbandevelopment,people'slivingstandardscontinuetoimprove,thecarinthecitymoreandmore,whichmakesthecityofroadtrafficbecomeaverythornyproblem,severetrafficcongestionphenomenon,tothegeneralpublictoworksuchastravelbringsalotofinconvenience.Inordertorelieveurbantrafficcongestionproblem,especiallytheintersectiontrafficcongestionproblems,soamoreintelligent,advancedtrafficcontrolsystemisverynecessary.

ThroughoutmostprevioustrafficcontrolsystembyPLCandsinglechipmicrocomputer,thedesignisoptimizeddesignoftrafficlightcontrolsystembasedonVHDL.Throughanalyzingsystemstructureindetail,usingthedesignmethodofhierarchicalmoduleisgivenVHDLprogram,reusingQuatusцsoftwareforsimulationanalysisprogram.Whenusinghardwaredescriptionlanguageforcircuitdesign,shouldalsofullyrealizeVHDLlanguagecharacteristics,fromthedesignthought,statements,usingtheoptimizeddesignandthedescriptionmethodandsoonmanyaspects.

keywords:

thetrafficcontrolsystem;VHDLlanguage;Quatusцsoftware;Thesimulation

引言

自改革开放以来,我国的经济及各方面都取得了前所未有的进步与发展。

人民生活水平不断提高,城镇化进程进一步加快,现在我国已经到达“城市人口的临界点”,大约有6.75亿人生活在城市,占到了我国总人口的一半以上。

到2030年,这一数字有望增加到10亿人。

城市人口的增加,必然会给城市的交通带来很大的负担,这将是大多数城市将面临的一大问题。

城市交通是一个城市活动的非常重要的组成部分,就像遍布我们人体全身的动脉一样,维系着整个城市系统的正常运转。

发展决定了人们的需求不断变化,随着人类社会的进步,人口不断增多,科技的日趋进步和城市规模不断扩大,人们出行的交通方式也不断变化。

交通方式也由原来简单的马车木船小舟,逐渐演变成为现在的火车、汽车、地铁、飞机、大型舰船等各种综合型的运输方式。

交通作为现代城市的重要体现和标志,始终见证着每一个城市的发展与兴衰。

每一个城市经济的发展,城市化进程的加快,人口的增多,必然会使城市的交通高速发展的同时也对交通设施的建设(特别是交通控制系统)提出更高的要求。

以前普通的交通控制器主要根据事先给定的时间进行通道的通禁控制,已达到自动控制的目的。

显然如今车流量的不断增多,以前的交通控制系统已经不能满足现有交通控制系统的要求。

为了缓解城市交通拥堵的状况,交通灯在其中扮演着举足轻重的角色。

设计一个交通灯控制系统的方法有很多种,我们可以采用标准逻辑器件、单片机实现、可编程控制器PLC等方案实现。

然而前面的3种方案都需要硬件电路来支持其功能的修改和调试,这样就在一定程度上增加了设计的困难,交通控制系统未来的发展趋势更加偏向提高通行能力、加强环境保护、开展智能化控制等专项技术,在这过程中更加注重以人为本,重点开展交通安全技术的研究,同时兼顾经济合理原则的目标,促进新材料的广泛应用和开发。

面对如上问题,随着电子技术的发展,电子设计自动化(EDA)的关键技术之一就是用形式化方法来描述数字系统的硬件电路,即用所谓硬件描述语言来描述硬件电路。

第1章概述

1.1交通灯的背景

交通灯控制系统中的红绿灯(交通信号灯)是以规定时间交互更迭的光色讯号,设置于交岔路口或其它特殊地点,用以将道路通行权指定给车辆驾驶人与行人,管制其行止及转向的交通管制设施。

以红、黄、绿三色灯号或辅以音响,指示车辆及行人停止、注意与行进。

红绿灯的由来:

19世纪初,在英国中部的约克城。

红、绿装分别代表女性的不同身份。

其中,穿着红装的女人表示我已结婚,而穿着绿装的女人则表示是未婚者。

后来,英国伦敦议会大厦前经常发生马车轧人的事故,于是人们受到红绿装启发,1868年12月10日,信号灯家族的第一个成员就在伦敦议会大厦的广场上诞生了。

由当时英国机械师德·哈特设计、制造的灯柱高7m,身上挂着一盏红、绿两色的提灯—

—煤气交通信号灯,这是城市街道的第一盏信号灯。

在灯的脚下,一名手持长杆的警察随心所欲地牵动皮带转换提灯的颜色。

后来在信号灯的中心装上煤气灯罩,它的前面有两块红、绿玻璃交替遮挡。

不幸的是只面世23天的煤气灯突然爆炸自灭,使一位正在值勤的警察也因此断送了性命。

从此,这个城市的刚刚诞生不久的交通信号灯马上被取缔了。

直到1914年,在美国的克利夫兰市才率先恢复了红、绿灯,不过,这时已是“电气信号灯”,稍后又在纽约和芝加哥等城市,相继重新出现了交通信号灯。

黄色信号灯的灯的发明者是我国的胡汝鼎,他怀着“科学救国”的抱负到美国深造,在大发明家爱迪生为董事长的美国通用电器公司任职员。

一天,他站在繁华的十字路口等

待绿灯信号,当他看到红灯而正要过去时,一辆转弯的汽车呼地一声擦身而过,吓了他一身冷汗。

回到宿舍,他反复琢磨,终于想到在红、绿灯中间再加上一个黄色信号灯,使红灯和绿灯切换有个缓冲,以提醒人们注意危险。

他的建议立即得到有关方面的肯定。

1918年,电气启动的红绿灯出现在美国。

这种红绿灯由红绿黄三色圆形的投光器组成,安装在纽约市5号大街的一座高塔上。

红灯亮表示“停止”,绿灯亮表示“通行”

,黄灯表示“警告”。

至此世界上第一盏名副其实的红、黄、绿三色灯诞生了。

信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。

1968年,联合国《道路交通和道路标志信号协定》对各种信号灯的含义作了规定。

绿灯是通行信号,面对绿灯的车辆可以直行,左转弯和右转弯,除非另

一种标志禁止某一种转向。

左右转弯车辆都必须让合法地正在路口内行驶的车辆和过人行横道的行人优先通行。

红灯是禁行信号,面对红灯的车辆必须在交叉路口的停车线后停车。

黄灯是警告信号,面对黄灯的车辆不能越过停车线,但车辆已十分接近停车线而不能安全停车时可以进入交叉路口。

此后,这一规定在全世界开始通用。

于是红、黄、绿三色信号灯即以一个完整的指挥信号家族,世遍及全界陆、海、空交通领域了。

从最早的手牵皮带到20世纪50年代的电气自动化控制,从采用计算机控制到现代化的电子定时监控,交通信号灯在科学化、自动化上不断地更新、发展和完善。

1.2交通控制系统目前国内外发展现状

国外城市交通信号控制系统的现状汇英国、澳大利亚、美国、法国、意大利和加拿大等西方发达国家对交通控制系统的研究投入大量的人力、物力,取得了一系列成果。

比较成功的有英国的TRANSYT系统、SC00T系统、美国ACTRA交通信号控制系统和澳大利亚的SCATS系统。

SCOOTS系统是一种实时自适应控制系统,即绿信比一信号周期一相位差优化技术,

1975年研制成功,在英国测试时取得了较好的效果。

SCOOTS系统已经经历了几十年的发展,不断的改进,在全世界有100多个城市正在运行作。

SCOOTS系统的主要特有:

实用性强,主要采用连续微调的方式对配时参数进行优化;稳定性强,各个传感器将车辆检测数据采集起来分析,再通过模型计算出配时方案参数,完成信号控制方案联调执行。

ACTRA交通信号控制系统是由美国西门子公司所研发的,也是一种自适应的信号控制系统,自适应反映迅速,交通响应模式主要是系统按照交通流的变化或非典型交通的需求在系统范围内进行优化配时和方案的执行。

通过从分配的前端交通检测器上获得的数据对划分区域范围内的周期长、绿信比和相位差分别自适应调整和控制,具有感应式线协调控制功能。

SCATS系统同样是一种自适应交通控制系统,采用三级协调分布式控制系统:

即控制中心为中央控制级、确定协调控制级(多个区域)和路口控制器级。

SCATS系统的主要特点是检测器安装在道路停车线上,不需要建立具体的交通模型,所以我们可以看出其控制方案不是基于交通模型的;周期、绿信比和相位差等参数的优化需要在预先确定的多个方案中根据实测的饱和度值进行选择;系统可根据交通需求变换相位的轮换次序

或跳过下一个相位,能及时响应每个周期的交通需求。

这三种系统都比较先进复杂,在控制原理和控制方式上有很多的相似性。

但每个系统又有着自己的特点,在实际应用中,每个城市应该根据自身的实际情况选择合适的信号控制系统,这些系统在全世界范围内都得到了很好的广泛应用。

我国城市交通信号控制系统方面的工作起步较晚,在20世纪70年代后期北京开始采用DJS一130型计算机对干道协调控制问题进行了研究。

80年代以来,城市道路交通问题越来越严重,国家一方面进行以改善城市市中心交通为核心的

UTSM(Urbantraffi。

systemmanage)技术研究;另一方面采取引进与开发相结合的方针,建立了一些城市道路交通信号控制系统。

如北京引进了SC00T系统,上海引进了SCAT系统,深圳引进了日本的控制系统。

我国近几年经过深入研究国外的交通信号控制系统,也开发出一些适用的交通信号控制系统,主要有上海交通大学的SUATA系统和南京、深圳等地研制的系统。

而如合肥、湘潭、岳阳等国内中小城市,交通控制系统主要还是使用国产的简易单点信号机和集中协调式信号机的控制系统。

这些交通信号控制系统虽然取得了满意的效果,但是中国的国情决定了需要对这些系统进行改进,主要体现在:

(1)需要合理解决混合交通流问题

(2)国产化率低

(3)稳定性和可靠性

(4)没有统一标准的通讯协议

国外先进系统往往比较复杂、昂贵,很难适合我国其他一些城市的使用,同时国内的一些企业也着手研发自己的信号控制系统。

最新一代智能化交通信号控制系统是将人工智能和知识工程等先进的前沿科技应用于交通信号控制系统,已取得了一系列重要研究成果。

智能控制主要是指基于专家系统的控制、模糊控制、神经网络控制、基于遗传算法的控制等。

智能控制方法的最大特点是其控制算法具有较强的逼近非线性函数的能力,不依赖于精确的数学模型,这对于交通系统这样复杂难以建立较好数学模型的系统是一个有效方法。

美国和荷兰等国目前正在研究基于智能Agent的UTC,主要原理是在城市交通网络中的一系列重要节点部署智能Agent,用于对所属的网络区域实现信号灯控制,它不但具有交通管理专家的经验知识,还具有不断学习的能力,具有本区域的交通流信息。

这些智能Agent

之间通过通信层(规范、内容、协议)进行信息(路由信息、交通流信息、控制信息)交流,解决单智能Agent信息不完整性,并通过协调层进行目标协同,解决交通网络中的资源、目标和结果冲突,最终实现交通控制的优化。

1.3EDA技术概述

1.3.1EDA技术简介及发展

EDA是电子设计自动化(ElectronicDesignAutomation)的缩写,在20世纪60年代中期从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。

在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。

EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。

1.3.2EDA设计方法

(1)前端设计(系统建模RTL级描述)后端设计(FPGAASIC)系统建模。

(2)IP复用。

(3)前端设计。

(4)系统描述:

建立系统的数学模型。

(5)功能描述:

描述系统的行为或各子模块之间的数据流图。

(6)逻辑设计:

将系统功能结构化,通常以文本、原理图、逻辑图、布尔表达式来表示设计结果。

(7)仿真:

包括功能仿真和时序仿真,主要验证系统功能的正确性及时序特性。

1.3.3EDA系统设计的主要特点

用软件的方式设计硬件;

用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的;采用自顶向下(top-down)的设计方法;

设计过程中可用有关软件进行各种仿真;系统可现场编程,在线升级;

整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。

1.3.4传统电子设计与EDA设计方法比较

EDA与传统的电子设计方法相比,EDA技术对于复杂电路的设计和调试都比较简单,如果某一过程存在错误,查找和修改起来比较方便,而且EDA技术的可移植性很强。

传统机电设备的电器控制系统,如果利用EDA技术进行重新设计或进行技术改造,不但设计周期短、设计成本低,而且将提高产品或设备的性能,缩小产品体积,提高产品的技术含量,提高产品的附加值。

1.4VHDL语言综述

1.4.1VHDL语言简介

VHDL(VHSICHardwareDescriptionLanguage)是美国国防部在80年代后期推出的面向多层次的硬件描述语言,它是70年代末、80年代初

VHSIC(VeryHighSpeedIntegratedCircuit)计划的产物。

VHDL支持硬件的设计、综合、验证和测试,言于1987年被定为IEEE1076标准,1993年升级为IEEE1164标准。

目前几乎所有的EDA工具都不同程度上支持VHDL语言VHDL主要用于描述数字系统的

结构,行为,功能和接口。

1.4.2VHDL语言的特点

(1)VHDL语言功能强大,设计方式多样。

VHDL语言具有强大的语言结构,只需采用简单明确的VHDL语言程序就可以描述十分复杂的硬件电路。

同时,它还具有多层次的电路设计描述功能。

此外,VHDL语言能够同时支持同步电路、异步电路和随机电路的设计实现,这是其他硬件描述语言所不能比拟的。

VHDL语言设计方法灵活多样,既支持自顶向下的设计方式,也支持自底向上的设计方法;既支持模块化设计方法,也支持层次化设计方法。

(2)VHDL语言具有强大的硬件描述能力。

VHDL语言具有多层次的电路设计描述功能,既可描述系统级电路,也可以描述门级电路;描述方式既可以采用行为描述、寄存器传输描述或者结构描述,也可以采用三者的混合描述方式。

同时,VHDL语言也支持惯性延迟和传输延迟,这样可以准确地建立硬件电路的模型。

(3)VHDL语言具有很强的移植能力。

VHDL语言很强的移植能力主要体现在:

对于同一个硬件电路的VHDL语言描述,它可以从一个模拟器移植到另一个模拟器上、从一个综合器移植到另一个综合器上或者从一个工作平台移植到另一个工作平台上去

执行。

(4)VHDL语言的设计描述与器件无关。

采用VHDL语言描述硬件电路时,设计人员并不需要首先考虑选择进行设计的器件。

这样做的好处是可以使设计人员集中精力进行电路设计的优化,而不需要考虑其他的问题。

当硬件电路的设计描述完成以后,

VHDL语言允许采用多种不同的器件结构来实现。

(5)VHDL语言程序易于共享和复用。

VHDL语言采用基于库(library)的设计方法。

在设计过程中,设计人员可以建立各种可再次利用的模块,一个大规模的硬件电路的设计不可能从门级电路开始一步步地进行设计,而是一些模块的累加。

这些模块可以预先设计或者使用以前设计中的存档模块,将这些模块存放在库中,就可以在以后的设计中进行复用。

1.4.3VHDL的设计流程

VHDL代码编写。

编译源文件。

功能仿真(前仿真)。

逻辑综合、优化和布局布线。

时序仿真(后仿真)。

编程下载。

由于 VHDL 语言是一种描述、模拟、综合、优化和布线的标准硬件描述语言,因此它可以使设计成果在设计人员之间方便地进行交流和共享,从而减小硬件电路设计的工作量,缩短开发周期。

1.5QuatusII软件简介

QuartusII是著名FPGA厂商Altera公司提供的FPGA/CPLD开发集成环境,属于平台化设计工具。

用户可以在QuartusII中实现整个数字集成电路的FPGA设计流程。

QuartusII在21世纪初推出,是Altera前一代FPGA/CPLD集成开发环境MAX+plusII的更新换代产品,其界面友好,使用便捷。

在QuartusII上可以完成设计输入、HDL综合、布线布局(适配)、仿真和下载和硬件测试等流程,它提供了一种与结构无关的设计环境,使设计者能方便地进行设计输入、快速处理和器件编程。

QuartusII 提供了完整的多平台设计环境,能满足各种特定设计的需要,也是单芯片可编程系统(SOPC)设计的综合性环境和SOPC开发的基本设计工具,并为

AlteraDSP开发包进行系统模型设计提供了集成综合环境。

QuartusII设计工具内部嵌有VHDL、Verilog逻辑综合器。

QuartusII也可以利用第三方的综合工具,如LeonardoSpectrum、SynplifyPro、FPGAComplierII,并能直接调用这些工具。

同样,QuartusII具备仿真功能,同时也支持第三方的仿真工具,如ModelSim。

此外,

QuartusII与MATLAB和DSP Builder结合,可以进行基于FPGA的DSP系统开发,是

DSP硬件系统实现的关键EDA工具。

第2章设计方案的优化选择

交通节点控制系统的设计是数字电路设计中的经典案例,其设计方案有基于单片机的设计、基于小规模集成电路的设计、基于VHDL的设计等。

下面我主要对这3种设计方案进行分析及论证,最后优化选择实现设计。

各车道信号灯

复位电路

AT89C52单片机

2.1方案1、基于单片机的交通节点控制系统的设计。

晶振电路

数码管倒计时显示

图1.基于单片机的系统框图

由上图可以看出基于单片机的交通节点控制系统的设计中,其设计主要以AT89C52单片机为核心器件,设计中考虑到各模块的稳定工作,就采用单片机控制模块提供电源,这主要可以节约成本,但输出功率不高。

关于复位电路的部分,为了使程序更加简洁,避免冗长,就采用按键复位,另外还需在芯片的复位端口外接一个复位电路,通过按键对单片机输入一个高电平的脉冲,以便达到复位目的。

关于输入信号部分,直接在I/O口接上按键开关,达到精简和优化电路的目的,本控制系统对于交通灯及数码管的控制只用单片机本身的I/O口就能够实现,显示电路部分采

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 党团工作 > 入党转正申请

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2